Download PDF
ads:
MARCOS PAULO DE SOUZA SILVA
JUNHO / 2004
Inatel
Instituto Nacional de Telecomunicações
Dissertação de Mestrado
UMA EFICIENTE ABORDAGEM
NUMÉRICA PARA A LINEARIZAÇÃO
DE AMPLIFICADORES DE
POTÊNCIA EM RF COM
OS RECURSOS DA TÉCNICA DE
PRÉ-DISTORÇÃO DIGITAL
ads:
Livros Grátis
http://www.livrosgratis.com.br
Milhares de livros grátis para download.
i
"Uma eficiente abordagem numérica para a linearização de
Amplificadores de Potência em RF com os recursos da técnica de
Pré-Distorção Digital"
MARCOS PAULO DE SOUZA SILVA
Dissertação apresentada ao Instituto Nacional de
Telecomunicações, como parte dos requisitos
para obtenção do Título de Mestre em
Engenharia Elétrica.
ORIENTADOR: Prof. Dr. Maurício Silveira
Santa Rita do Sapucaí
2004
ads:
ii
FOLHA DE APROVAÇÃO
Dissertação defendida e aprovada em 18 / 06 / 2004, pela comissão julgadora:
____________________________________________________________________
Prof. Dr. Maurício Silveira
DTE/INATEL
____________________________________________________________________
Prof. Dr. Yuzo Iano
DECOM/FEEC/UNICAMP
___________________________________________________________________
Prof. Dr. Sandro Adriano Fasolo
DTE/INATEL
___________________________________________________________________
Coordenador do Curso de Mestrado
Prof. Dr. Adonias Costa da Silveira
iii
MENSAGEM
“Hoje começo uma nova vida.
Hoje mudo minha pele velha que sofreu, por muito tempo, as machucaduras
do fracasso e os ferimentos da mediocridade.
Hoje renasço e meu berço é uma vinha onde há frutas para todos.
Hoje colherei uvas da sabedoria da mais alta e carregada videira da vinha,
pois elas foram plantadas pelos mais sábios de minha profissão que me antecederam,
geração após geração.
Hoje provarei o sabor das uvas destas videiras e, em verdade, engolirei a
semente do êxito incrustada em cada uva e uma nova vida brotará dentro de mim.
A carreira por mim escolhida é plena de oportunidades, embora repleta de
desgosto e desespero, e se os corpos daqueles que fracassaram fossem empilhados
um em cima de outro, lançariam sua sombra sobre todas as pirâmides da terra.
Contudo, eu não fracassei como os outros, pois em minhas mãos tenho agora
o mapa que me guiará por águas mais perigosas, as costas que, ontem mesmo,
pareciam apenas um sonho”
O MAIOR VENDEDOR DO MUNDO
O.G. MANDINO
iv
AGRADECIMENTO ESPECIAL
Aos meus pais Gilson e Vera, que se esforçaram para a
realização deste trabalho, muito mais do que eu, pois se
privaram de muitas coisas na vida para me poderem dar o
que julgam de mais importante e que ninguém vai poder
tomar de mim e de meu irmão: a EDUCAÇÃO. Dedico-
lhes este trabalho, pois sem eles não teria condições de
realizá-lo.
v
A minha musa inspiradora: ADRIANA FERREIRA D´AMICO
vi
AGRADECIMENTOS
Primeiramente, a DEUS e a todos os Santos a quem recorri nas horas de
dificuldades e desânimos.
Aos meus pais Gilson e Vera, pelo apoio, incentivo, amor e principalmente
por acreditarem no meu potencial.
Aos meus familiares e meu irmão Gilsinho, que sempre me incentivaram e
muitas vezes me chamaram até de louco.
Ao Professor Dr. Maurício Silveira, pela excelente orientação durante a
elaboração deste trabalho e pelos seus ensinamentos, não só técnicos mas também
humanos, pois muito aprendi com esta fantástica pessoa.
Aos amigos feitos durante o período em que estive em Santa Rita, em
especial aos amigos Henry e Adriano, que me ajudaram e também desenvolveram
recursos para que este trabalho fosse concretizado.
Ao Prof. Dr. Wilton Ney do Amaral Pereira, pelos ensinamentos,
orientações, e pelas experiências transmitidas.
Ao Prof. Dr. Leonardo Mendes, DECOM/FEEC/UNICAMP, meu primeiro
orientador de Mestrado, que muito me ensinou dando-me a oportunidade de iniciar
os estudos de Mestrado.
Ao Prof. José Maria da Silva Souza que me incentivou, dando-me
oportunidade e convidando-me a trabalhar com Iniciação Científica (IC), fazendo
parte do primeiro grupo de Pesquisas e Desenvolvimento de Dispositivos Lógicos
Programáveis (Programmable Logic Devices –
PLD´s) no INATEL.
Ao Prof. Francisco Máximo Ferreira Neto, que fez as devidas correções de
Língua Portuguesa nesta dissertação.
Ao Prof. MSc. Carlos Nazareth Motta Marins que além da amizade,
forneceu os Programas: Virtual Tec e Análise de Distorções não lineares, que
geraram alguns gráficos que estão presentes nesta dissertação no capítulo 3.
A todos os professores e funcionários do Instituto Nacional de
Telecomunicações e da Linear Equipamentos Eletrônicos S.A., em especial ao MSc.
José de Souza Lima, que, de alguma forma, contribuíram para o meu trabalho.
vii
Ao CNPq, a ERICSSON, a FINATEL e à Financiadora de Estudos e Projetos
(FINEP) do Ministério da Ciência e Tecnologia com recursos do Fundo Setorial para
Desenvolvimento Tecnológico das Comunicações (Funttel), que me concederam
bolsas de estudo.
A Agilent Technologies pelas licenças fornecidas do software Advanced
Design System (ADS) 2002C e 2003A. Cabe ainda ressaltar que recentemente
conseguimos mais cinco licenças da versão full do software, sendo que apenas um
número reduzido de Instituições de Ensino no Mundo obtiveram esse privilégio,
sendo a maioria delas nos Estados Unidos e apenas uma fora, o Instituto Nacional de
Telecomunicações – INATEL, realizando um sério trabalho em desenvolvimento de
projetos e pesquisas.
A Altera Corporation pelas licenças doadas dos softwares: Max-PlusII,
Quartus II ao Grupo PLD do Inatel.
A Gabriela Ayres Nascimento, grande amiga.
Enfim, agradeço a VIDA e as oportunidades que ela tem me proporcionado.
viii
“O que escrevo nasce de meu próprio amadurecimento, um trajeto de altos e
baixos, pontos luminosos e zonas de sombra. Nesse curso entendi que a vida não tece
apenas uma teia de perdas, mas nos proporciona uma sucessão de ganhos”.
LYA LUFT - PERDAS E GANHOS
ix
ÍNDICE
LISTA DE FIGURAS ___________________________________________________________ XI
LISTA DE TABELAS __________________________________________________________XIV
LISTA DE ABREVIATURAS E SIGLAS___________________________________________XV
LISTA DE SÍMBOLOS________________________________________________________ XVII
RESUMO ____________________________________________________________________XIX
ABSTRACT ___________________________________________________________________XX
CAPÍTULO 1 ___________________________________________________________________ 1
INICIAIS_______________________________________________________________________ 1
1.1 – I
NTRODUÇÃO
______________________________________________________________ 1
1.2 – O
BJETIVO DO
T
RABALHO
____________________________________________________ 1
1.3 – R
ELEVÂNCIA DO
P
ROJETO
____________________________________________________ 3
1.4 – E
STADO DA
A
RTE
__________________________________________________________ 3
1.5 – A
BORDAGEM
M
ETODOLÓGICA
________________________________________________ 4
1.6 – C
ONTEÚDO DA
D
ISSERTAÇÃO
_________________________________________________ 5
CAPÍTULO 2 ___________________________________________________________________ 6
TV DIGITAL ___________________________________________________________________ 6
2.1 – I
NTRODUÇÃO A
TV D
IGITAL
__________________________________________________ 6
2.2 – B
REVE
H
ISTÓRICO
__________________________________________________________ 7
2.3 – M
ODALIDADES DE
TV
DIGITAL
_______________________________________________ 12
2.4 – O
S
I
MPACTOS DA TV DIGITAL NO BRASIL
________________________________________ 14
2.4.1 – A expectativa dos usuários brasileiros_____________________________________ 14
2.4.2 – Os fatores sociais e culturais ____________________________________________ 15
2.5 – R
EFERÊNCIAS
B
IBLIOGRÁFICAS DO
C
APÍTULO
2__________________________________ 16
CAPÍTULO 3 __________________________________________________________________ 17
AMPLIFICADORES DE RF _____________________________________________________ 17
3.1 – I
NTRODUÇÃO
_____________________________________________________________ 17
3.2 – G
ANHO
D
IFERENCIAL E
F
ASE
D
IFERENCIAL
_____________________________________ 17
3.3 – D
ISTORÇÕES
_____________________________________________________________ 20
3.3.1 – Distorção Linear______________________________________________________ 21
3.3.2 – Distorção Harmônica__________________________________________________ 22
3.3.3 – Distorção Não Linear__________________________________________________ 23
3.3.4 – Distorção Por Intermodulação___________________________________________ 25
3.4 – C
OMPRESSÃO DO GANHO DE
1
D
B_____________________________________________ 26
3.5 – C
OMPORTAMENTO QUADRÁTICO
– 1
TOM
_______________________________________ 27
3.6 – C
OMPORTAMENTO QUADRÁTICO
– 2
TONS
______________________________________ 28
3.7 – C
OMPORTAMENTO CÚBICO
– 1
TOM
___________________________________________ 30
3.8 – C
OMPORTAMENTO CÚBICO
– 2
TONS
___________________________________________ 32
3.9 – C
OMPORTAMENTO CÚBICO
– 3
TONS
___________________________________________ 34
3.10 – L
INEARIZAÇÃO DE
A
MPLIFICADORES DE
P
OTÊNCIA
______________________________ 36
3.10.1 – Pré-Distorção_______________________________________________________ 37
3.11 – R
EFERÊNCIAS
B
IBLIOGRÁFICAS DO
C
APÍTULO
3_________________________________ 45
x
CAPÍTULO 4 __________________________________________________________________ 47
MÉTODOS NUMÉRICOS _______________________________________________________ 47
4.1 – F
UNDAMENTOS TEÓRICOS DA
C
ORRELAÇÃO
_____________________________________ 47
4.2 – A
JUSTE DE
C
URVAS
________________________________________________________ 50
4.2.1 – O Método dos Mínimos Quadrados _______________________________________ 50
4.2.2 – Reta dos Mínimos Quadrados____________________________________________ 52
4.2.3 – A Reta dos Mínimos Quadrados em Termos da Variância e Covariância Amostrais _ 55
4.3 – R
EGRESSÃO
______________________________________________________________ 56
4.4 – Q
UANTIFICAÇÃO DO ERRO DA REGRESSÃO LINEAR
________________________________ 57
4.5 – R
EFERÊNCIAS
B
IBLIOGRÁFICAS DO
C
APÍTULO
4__________________________________ 59
CAPÍTULO 5 __________________________________________________________________ 60
DESENVOLVIMENTO DE UM PROTÓTIPO PARA LINEARIZAÇÃO DE
AMPLIFICADORES____________________________________________________________ 60
5.1 – I
NTRODUÇÃO
_____________________________________________________________ 60
5.2 – D
ESCRIÇÃO E MONTAGEM DO PROTÓTIPO
_______________________________________ 60
5.2.1 – Hardware em malha aberta _____________________________________________ 61
5.2.2 – Hardware em malha Fechada ___________________________________________ 63
5.2.3 – Hardware em malha Fechada Usando o Chip ISL5239________________________ 80
5.5 – R
EFERÊNCIAS
B
IBLIOGRÁFICAS DO
C
APÍTULO
5__________________________________ 89
CAPÍTULO 6 __________________________________________________________________ 90
CONSIDERAÇÕES FINAIS _____________________________________________________ 90
6.1 – C
ONCLUSÃO E
C
ONTRIBUIÇÕES DO TRABALHO
___________________________________ 90
6.2 – T
RABALHOS
P
UBLICADOS
R
ELACIONADOS À
D
ISSERTAÇÃO
_________________________ 92
6.3 – T
RABALHOS
N
O
P
RELO
R
ELACIONADOS À
D
ISSERTAÇÃO
___________________________ 94
6.4 – T
RABALHOS
P
UBLICADOS
N
ÃO
R
ELACIONADOS À
D
ISSERTAÇÃO
_____________________ 94
6.5 – T
RABALHOS
S
UBMETIDOS
N
ÃO
R
ELACIONADOS À
D
ISSERTAÇÃO
____________________ 94
ANEXOS______________________________________________________________________ 95
ANEXO 1 _____________________________________________________________________ 95
P
ROGRAMA PROJETO
.
C
__________________________________________________________ 95
ANEXO 2 ____________________________________________________________________ 104
P
ROGRAMA PEARSON
.
C
________________________________________________________ 104
APÊNDICES__________________________________________________________________ 105
APÊNDICE 1 _________________________________________________________________ 105
A.1 – D
IGITALIZAÇÃO DOS
S
INAIS
A
NALÓGICOS
_____________________________________ 105
A.1.1 – Amostragem ________________________________________________________ 105
A.1.2 – Quantização ________________________________________________________ 112
A.1.2.1 – Quantização Linear ou Uniforme_____________________________________________ 112
A.1.2.2 – Quantização Não Linear____________________________________________________ 113
A.1.3 – Codificação ________________________________________________________ 114
A.2 –
R
ECONSTRUÇÃO DO SINAL DIGITAL EM ANALÓGICO
______________________________ 116
A.3 – R
EFERÊNCIAS
B
IBLIOGRÁFICAS DO
A
PÊNDICE
1 ________________________________ 119
xi
L
ISTA DE
F
IGURAS
F
IGURA
2.1
M
ODELO DE
R
EFERÊNCIA
ITU
PARA A
TV D
IGITAL
____________________________ 8
F
IGURA
2.2
M
ODELO DE
R
EFERÊNCIA DO
S
ISTEMA
A
MERICANO
___________________________ 9
F
IGURA
2.3
M
ODELO DE
R
EFERÊNCIA DO
S
ISTEMA
E
UROPEU
____________________________ 10
F
IGURA
2.4
M
ODELO DE
R
EFERÊNCIA DO
S
ISTEMA
J
APONÊS
_____________________________ 11
F
IGURA
2.5
S
ISTEMAS DE ALTO
-
FALANTES PARA A
TV D
IGITAL CONFORME A
ITU ______________ 13
F
IGURA
2.6
M
ODALIDADES DE
T
RANSMISSÃO DA
TV D
IGITAL
_____________________________ 13
F
IGURA
3.1(
A
)
C
URVAS
AM/AM__________________________________________________ 18
F
IGURA
3.1(
B
)
C
URVAS
AM/PM__________________________________________________ 19
F
IGURA
3.1
C
URVAS TÍPICAS DE UM AMPLIFICADOR
____________________________________ 19
F
IGURA
3.2
S
INAL DE VÍDEO
FCC _________________________________________________ 20
F
IGURA
3.3
S
INAIS DO
DG
E
DP
MEDIDOS PARA O SINAL DE TESTE
_________________________ 20
F
IGURA
3.4
S
ISTEMA DE
A
MPLIFICAÇÃO SIMPLES
______________________________________ 22
F
IGURA
3.5
D
ISTORÇÕES HARMÔNICAS
_____________________________________________ 23
F
IGURA
3.6
S
ISTEMA
L
INEAR
_____________________________________________________ 24
F
IGURA
3.7
S
ISTEMAS
:
LINEAR
,
DE COMPRESSÃO E DE EXPANSÃO
__________________________ 25
F
IGURA
3.8 –
F
REQÜÊNCIAS FUNDAMENTAIS
,
DISTORÇÕES HARMÔNICAS E PRODUTOS DE
INTERMODULAÇÃO
___________________________________________________________ 26
F
IGURA
3.9
C
OMPRESSÃO DE
1
D
B ________________________________________________ 27
F
IGURA
3.10(
A
)
S
INAL DE
1
TOM
:
F
1
= 80 H
Z
_______________________________________ 28
F
IGURA
3.10(
B
)
S
INAL
i
V
AMPLIFICADO
___________________________________________ 28
F
IGURA
3.10
C
OMPORTAMENTO QUADRÁTICO PARA
1
TOM
(F
REQÜÊNCIA EM
HZ
E
A
MPLITUDE EM
D
B) ______________________________________________________________________ 28
F
IGURA
3.11(
A
)
S
INAL DE
2
TONS
_________________________________________________ 29
F
IGURA
3.11(
B
)
S
INAL
A
MPLIFICADO
______________________________________________ 29
F
IGURA
3.11
S
ISTEMAS DE COMPORTAMENTO QUADRÁTICO PARA
2
TONS
____________________ 29
(F
REQÜÊNCIA EM
HZ
E
A
MPLITUDE EM D
B)_____________________________________________ 29
F
IGURA
3.12
C
URVA DO
A
MPLIFICADOR
(P
OTÊNCIA DE ENTRADA EM D
B
POR
P
OTÊNCIA DE SAÍDA EM
D
B) ______________________________________________________________________ 31
F
IGURA
3.13
S
INAL DE
E
NTRADA NO DOMÍNO DO TEMPO PARA
1 T
OM
______________________ 31
(T
EMPO EM SEGUNDOS POR
T
ENSÃOEM
V
OLTS
)___________________________________________ 31
F
IGURA
3.14
S
INAL APÓS A PASSAGEM PELO AMPLIFICADOR
: 1 T
OM
_______________________ 32
(F
REQÜÊNCIA EM
GH
Z POR
T
ENSÃO EM
V
OLTS
) __________________________________________ 32
F
IGURA
3.15(
A
)
S
INAL DE
2
TONS
_________________________________________________ 33
F
IGURA
3.15(
B
)
S
INAL
A
MPLIFICADO
______________________________________________ 33
F
IGURA
3.15
S
ISTEMAS DE COMPORTAMENTO CÚBICO PARA
2
TONS
________________________ 33
(F
REQÜÊNCIA EM
HZ
E
A
MPLITUDE EM D
B)_____________________________________________ 33
F
IGURA
3.16
S
INAL DE
E
NTRADA NO
D
OMÍNO DO
T
EMPO PARA
2 T
ONS
_____________________ 33
(T
EMPO EM SEGUNDOS POR
T
ENSÃOEM
V
OLTS
)___________________________________________ 33
F
IGURA
3.17
S
INAL APÓS A PASSAGEM PELO AMPLIFICADOR
: 2 T
ONS
_______________________ 34
(F
REQÜÊNCIA EM
GH
Z POR
T
ENSÃO EM
V
OLTS
) __________________________________________ 34
F
IGURA
3.18
S
INAL DE
E
NTRADA NO DOMÍNO DO TEMPO PARA
3 T
ONS
_____________________ 35
(T
EMPO EM SEGUNDOS POR
T
ENSÃOEM
V
OLTS
)___________________________________________ 35
F
IGURA
3.19
S
INAL APÓS A PASSAGEM PELO AMPLIFICADOR
: 3 T
ONS
_______________________ 36
(F
REQÜÊNCIA EM
GH
Z POR
T
ENSÃO EM
V
OLTS
) __________________________________________ 36
F
IGURA
3.20
S
ISTEMA DE
L
INEARIZAÇÃO POR PRÉ
-
DISTORÇÃO
____________________________ 37
F
IGURA
3.21
S
INAL DE DOIS TONS
_________________________________________________ 40
F
IGURA
3.22
S
INAIS DE UM CIRCUITO DE
P
-D
ISTORÇÃO CÚBICA
________________________ 41
xii
F
IGURA
3.23
S
INAIS DE UM CIRCUITO DE
P
-D
ISTORÇÃO ADAPTATIVA EM
B
ANDA
B
ASE
________ 42
F
IGURA
3.24
S
INAIS DE UM CIRCUITO DE
P
-D
ISTORÇÃO ADAPTATIVA EM
RF _______________ 43
F
IGURA
4.1
D
IAGRAMAS DE DISPERSÃO ENTRE X E Y
____________________________________ 48
F
IGURA
4.2
C
URVA DE AJUSTE DA INTERPOLAÇÃO LINEAR
_______________________________ 51
F
IGURA
5.1(
A
)
M
EDIDA
AM/AM _________________________________________________ 61
F
IGURA
5.1(
B
)
M
EDIDA
AM/PM _________________________________________________ 61
F
IGURA
5.1
C
URVAS
C
ARACTERÍSTICAS
(28W@430 MH
Z
)_______________________________ 61
F
IGURA
5.2
D
IAGRAMA EM MALHA ABERTA
___________________________________________ 61
F
IGURA
5.3
H
ARDWARE EM MALHA ABERTA
__________________________________________ 62
F
IGURA
5.4(
A
)
P
RIMEIRA VERSÃO DO HARDWARE IMPLEMENTADO
_________________________ 65
F
IGURA
5.4(
B
)
V
ERSÃO ATUAL DO HARDWARE IMPLEMENTADO
___________________________ 66
F
IGURA
5.5(
A
)
D
IAGRAMA EM BLOCOS DO
S
ISTEMA
C
OMPLETO
__________________________ 66
F
IGURA
5.5(
B
)
D
IAGRAMA EM BLOCOS DETALHADO
___________________________________ 66
F
IGURA
5.6
M
ÓDULO DE
L
ÓGICA
P
ROGRAMÁVEL
_____________________________________ 67
F
IGURA
5.7
G
RÁFICO COM OS SINAIS
: I
DEAL
, D
ISTORCIDO E
C
ORRIGIDO
. ___________________ 70
F
IGURA
5.8
SINAL DE VÍDEO RAMPA MODULADA
_______________________________________ 71
F
IGURA
5.9(
A
)
M
EDIDAS IDEAIS
__________________________________________________ 71
F
IGURA
5.9(
B
)
M
EDIDAS SEM PRÉ
-
DISTORÇÃO
_______________________________________ 71
F
IGURA
5.9(
C
)
M
EDIDAS COM PRÉ
-
DISTORÇÃO
_______________________________________ 72
F
IGURA
5.10(
A
)
M
EDIDA DA CURVA DO AMPLIFICADOR NO OSCILOSCÓPIO
__________________ 73
F
IGURA
5.10(
B
)
M
EDIDA DA CURVA DO AMPLIFICADOR GERADA PELO SOFTWARE
_____________ 74
F
IGURA
5.11(
A
)
S
INAL DE DOIS TONS ANTES DE PASSAR PELO AMPLIFICADOR
________________ 74
F
IGURA
5.11(
B
)
S
INAL APÓS A PASSAGEM PELO AMPLIFICADOR
___________________________ 75
F
IGURA
5.12
F
IGURAS DO
M
AT
L
AB
®
NO DOMÍNIO DO TEMPO
____________________________ 77
F
IGURA
5.13
F
IGURAS DO
M
AT
L
AB
®
NO DOMÍNIO DA FREQÜÊNCIA
. _______________________ 78
F
IGURA
5.14
M
EDIDA DO OSCILOSCÓPIO DA ONDA DE ENTRADA
. __________________________ 78
F
IGURA
5.15
M
EDIDA DO OSCILOSCÓPIO DA ONDA DE ENTRADA APÓS A AMPLIFICAÇÃO
. ________ 79
F
IGURA
5.16
A
S DUAS FORMAS DE ONDA JUNTAS
. _____________________________________ 79
F
IGURA
5.17
N
OVA
D
ISPOSIÇÃO UTILIZANDO O
ISL5239________________________________ 80
F
IGURA
5.18
I
MPLEMENTAÇÃO UTILIZANDO O
ISL5239_________________________________ 83
F
IGURA
5.19(
A
)
S
INAL DE ENTRADA NO DOMÍNIO DO TEMPO
_____________________________ 83
F
IGURA
5.19(
B
)
FFT
DO SINAL DE ENTRADA
_________________________________________ 84
F
IGURA
5.19(
C
)
S
INAL DE ENTRADA EM ESCALA LOGARÍTMICA
____________________________ 84
F
IGURA
5.20(
A
)
S
INAL DISTORCIDO PELO AMPLIFICADOR NO DOMÍNIO DO TEMPO
_____________ 85
F
IGURA
5.20(
B
)
FFT
DO SINAL DISTORCIDO PELO AMPLIFICADOR
________________________ 85
F
IGURA
5.20(
C
)
S
INAL DISTORCIDO PELO AMPLIFICADOR EM ESCALA LOGARÍTMICA
___________ 86
F
IGURA
5.21(
A
)
S
INAL CORRIGIDO NO DOMÍNIO DO TEMPO
______________________________ 86
F
IGURA
5.21(
B
)
FFT
DO SINAL CORRIGIDO
_________________________________________ 87
F
IGURA
5.21(
C
)
S
INAL CORRIGIDO EM ESCALA LOGARÍTMICA
____________________________ 87
F
IGURA
A.1
T
IPOS DE AMOSTRAGEM DE UM SINAL
PAM _______________________________ 106
F
IGURA
A.2
S
ISTEMA TÍPICO DE
A
MOSTRAGEM
______________________________________ 107
F
IGURA
A.3
S
INAL RECONSTITUÍDO QUANDO F
A
>2
FM
________________________________ 108
F
IGURA
A.4
S
INAL AMOSTRADO QUANDO F
A
>2
FM
___________________________________ 109
F
IGURA
A.5
S
INAL RECONSTITUÍDO QUANDO F
A
=2
FM
________________________________ 109
F
IGURA
A.6
S
INAL AMOSTRADO QUANDO F
A
=2
FM
___________________________________ 110
F
IGURA
A.7
S
INAL RECONSTITUÍDO QUANDO F
A
<2
FM
________________________________ 111
F
IGURA
A.8
S
INAL AMOSTRADO QUANDO F
A
<2
FM
___________________________________ 111
F
IGURA
A.9
S
ISTEMA DE
Q
UANTIZAÇÃO
L
INEAR
_____________________________________ 113
xiii
F
IGURA
A.10
S
ISTEMA DE
Q
UANTIZAÇÃO NÃO
L
INEAR
_________________________________ 114
F
IGURA
A.11
E
RRO DE
Q
UANTIZAÇÃO
____________________________________________ 115
F
IGURA
A.12(
A
)
S
ISTEMA DE
D
IGITALIZAÇÃO DE
S
INAIS
_______________________________ 115
F
IGURA
A.12(
B
)
S
INAIS DAS VÁRIAS ETAPAS DO
P
ROCESSO DE
D
IGITALIZAÇÃO DE
S
INAIS
______ 116
F
IGURA
A.13
R
ECONSTRUÇÃO DO SINAL ORIGINAL
___________________________________ 116
F
IGURA
A.14
P
ULSOS
PAM ____________________________________________________ 117
F
IGURA
A.15(
A
)
F
ATOR DE ROLL
-
OFF
= 0_________________________________________ 118
F
IGURA
A.15(
B
)
F
ATOR DE ROLL
-
OFF
= 0,5 _______________________________________ 118
F
IGURA
A.15(
C
)
F
ATOR DE ROLL
-
OFF
= 1_________________________________________ 118
F
IGURA
A.15(
D
)
E
SPECTRO DOS TRÊS FATORES
_____________________________________ 118
F
IGURA
A.15
R
ESPOSTAS AOS RESPECTIVOS FATORES DE ROLL
-
OFF
______________________ 118
F
IGURA
A.16
R
ECONSTRUÇÃO DO SINAL ORIGINAL
___________________________________ 118
xiv
L
ISTA DE
T
ABELAS
T
ABELA
2.1
C
ARACTERÍSTICAS DO
S
ISTEMA
A
MERICANO
_________________________________ 9
T
ABELA
2.2
C
ARACTERÍSTICAS DO
S
ISTEMA
E
UROPEU
_________________________________ 10
T
ABELA
2.3
C
ARACTERÍSTICAS DO
S
ISTEMA
J
APONÊS
__________________________________ 10
T
ABELA
2.4
D
EMANDA ATUAL DO MERCADO DE
HDTV
EM ALGUNS PAÍSES
__________________ 12
T
ABELA
2.5
C
ODIFICAÇÃO DE ÁUDIO
______________________________________________ 13
T
ABELA
3.1
C
OMPARAÇÕES ENTRE
S
ISTEMAS DE
L
INEARIZAÇÃO
(21)
_______________________ 44
T
ABELA
4.1
V
ALORES PARA A CORRELAÇÃO
_________________________________________ 49
T
ABELA
5.1
D
ADOS DA CURVA
___________________________________________________ 63
T
ABELA
5.2
E
NTRADA DE
D
ADOS
(
X
)
E
S
AÍDA DE DADOS
(
Y
)
APÓS O AMPLIFICADOR
____________ 68
T
ABELA
5.3
S
INAL CORRIGIDO
___________________________________________________ 68
xv
L
ISTA DE
A
BREVIATURAS E
S
IGLAS
AD – Analógico/Digital
AAC – Advanced Audio Coding
ABERT – Associação Brasileira de Emissoras de Rádio e Televisão
AC 3 – Audio Code number 3
ADS – Advanced Design System
ANATEL – Agência Nacional de Telecomunicações
ATSC – Advanced Television System Committee
BC – Backward Compatible
BST-OFDM – Bandwidth Segmented Transmission – Orthogonal Frequency
Division Multiplexing
BW – Bandwidth
CD – Compact Disc
CNPq – Conselho Nacional de Desenvolvimento Científico e Tecnológico
COFDM – Coded Orthogonal Frequency Division Multiplexing
DA – Digital/Analógico
DC – Direct current
DECOM – Departamento de Comunicações
DG – Ganho Diferencial
DHT – Distorção Harmônica Total
DiBEG – Japan's Digital Broadcasting Experts Group
DMB – Digital Multimedia Broadcast
DP – Fase Diferencial
DQPSK – Diferential Quadrature Phase Shift Keying
DSP – Processador Digital de Sinais
DTE – Departamento de Telecomunicações
DTTB – Digital Terrestrial Television Broadcasting
DUT – Device under test
DVB – Digital Video Broadcasting
DVB-T – Digital Video Broadcasting Terrestrial
DVD – Digital Video Disc
EDTV – Enhanced Definition Television
ETSI – European Telecommunications Standards Institute
FCC – Federal Communications Commission
FD – Faixa Dinâmica
FDMA – Frequency Division Multiple Access
FEEC – Faculdade de Engenharia Elétrica e de Computação
FET – Transistor de Efeito de Campo
FFT – Fast Fourier Transform
FINATEL – Fundação do Instituto Nacional de Telecomunicações
FPGA – Field Programmable Gate Array
FUNTTEL – Fundo Setorial para Desenvolvimento Tecnológico das Comunicações
GA – Grand Alliance
HDTV – High Definition Television
HPA – High Power Amplifier
IC – Iniciação Científica
IEE – Divisão de Engenharia Eletrônica do Instituto Tecnológico de Aeronáutica
xvi
IEEE – Institute of Electrical and Electronics Engineers
IF (FI) – Intermediate Frequency
IIS – Interferência Intersimbólica
IMD – Intermodulation Distortion
INATEL – Instituto Nacional de Telecomunicações
ISDB – Integrated Service Digital Broadcasting
ISDB-T – Integrated Service Digital Broadcasting Terrestrial
ITA – Instituto Tecnológico da Aeronáutica
ITU – International Telecommunications Union
ITU-R – International Telecommunications Union – Radiocommunication Sector
LUT – Look Up-Table
LMDS – Local Multipoint Distribution System
MCT – Ministério da Ciência e Tecnologia
MLP – Módulo de Lógica Programável
MMDS – Multipoint Microwave Distribution System
MPEG Moving Pictures Experts Group
MTT-S – Microwave Theory and Techniques Society
MUSE – Multiple Sub-Nyquist Sampling Encoding
NTSC – National Television Systems Committee
PA – Power Amplifier
PAM – Modulação por Amplitude de Pulso
PC – Personal Computer
PCM – Modulação por Código de Pulso
PIP – Picture in Picture
PLD´s – Programmable Logic Device
PSK – Phase Shift Keying
QAM – Quadrature Phase Amplitude Modulation
QPSK – Quadrature Phase Shift Keying
RA – Razão ou Relação de Aspecto
RF – Rádio Freqüência
S.A. – Sociedade Anônima
SDTV – Standard Definition Television
SET - Sociedade Brasileira de Engenharia de Televisão
SMPTE – A Society of Motion Picture and Television Engineers
SNR – Signal Noise Ratio
TDMA – Time Division Multiple Access
TV – Televisão
UHF - Ultra High Frequency
UNICAMP – Universidade Estadual de Campinas
VCR – Video Cassette Recorder
VHF – Very High Frequency
VSB – Vestigial Side Band
xvii
L
ISTA DE SÍMBOLOS
C
APÍTULO
3
V
i
Tensão de entrada
V
0
Tensão de saída
K
Fator de ganho
D
n
Distorção harmônica
A
n
Amplitude da n-ésima componente de freqüência
A
1
Amplitude freqüência fundamental
DHT
Distorção harmônica total
P
0
(t)
Potência de saída
P
i
(t)
Potência de entrada
K
1
Ganho do sistema linear
K
2
Ganho quadrático
K
3
Ganho cúbico
K
n
O n-ésimo ganho
C
APÍTULO
4
x
Variável de entrada
y
Variável de saída
r
Coeficiente de correlação linear
n
Representa o número de pares ),( yx
x
Média dos valores de
x
y
Média dos valores de
y
S
xy
Covariância de
x
,
y
S
xx
Desvio padrão de
x
S
yy
Desvio padrão de
y
i
E
para
i
= 1, 2, .....
Desvio, erro ou resíduo
i
y para i = 1, 2, .....
Valor de
y
ajustado
S
Reta dos mínimos quadrados
a
É o intercepto
y
, para
x
=
E
= 0
b
É o coeficiente angular
t
S
Soma total dos quadrados
r
S
Soma dos resíduos
2
r
Coeficiente de determinação
xviii
C
APÍTULO
5
P
out
Potência de saída
P
in
Potência de entrada
I
Fase
Q
Quadratura
x
Variável de entrada
y
Variável de saída
r
Coeficiente de correlação linear
n
Número de Amostras
x
Média dos valores de
x
y
Média dos valores de
y
Φ
Variação de fase
xix
R
ESUMO
SILVA, M.P.S. Uma Eficiente Abordagem Numérica para a Linearização de
Amplificadores de Potência em RF com os Recursos da Técnica de Pré-Distorção
Digital. Santa Rita do Sapucaí, M.G., 2004. Instituto Nacional de Telecomunicações
- INATEL.
As não linearidades de dispositivos para certas aplicações são indesejáveis.
Elas ocorrem nos diversos equipamentos eletrônicos para Sistemas de
Telecomunicações, no nosso caso, em específico, elas estão presentes na transmissão
de sinais de
TV Digital
, totalmente dependentes de amplificadores de potência em
Rádio Freqüência (
RF
). Devido ao comportamento não linear faz-se necessário
realizar prévios estudos dos dispositivos e circuitos que realizem a linearização.
O enfoque principal desta dissertação, além da teoria, foi o desenvolvimento
de uma parte experimental com a criação de um protótipo. Este, juntamente com a
utilização de métodos numéricos aplicados aos recursos da pré-distorção digital
permitem linearizar, de forma eficiente, os sinais de
TV
. Este processo se inicia com
os modelos analógicos e tem hoje um grande desenvolvimento com aqueles digitais.
Palavras-chave: Linearização, Métodos Numéricos, Amplificadores de
Potência de RF, Pré-Distorção Digital, Controle Adaptativo.
xx
A
BSTRACT
SILVA, M.P.S. An Efficient Numerical Approach for the RF Power Amplifier
Linearization Using Digital Pre-Distortion Techniques. Santa Rita do Sapucaí, M.G.,
Brazil, 2004. National Institute of Telecommunication - INATEL.
The non-linearization of devices for certain applications is undesirable and
they occur in many electronic types of equipment for Telecommunications Systems.
In our specific case they are present in the transmission of Digital TV signals
strongly dependent of the RF power amplifiers. Due to the nonlinear behavior one
becomes necessary to accomplish previous studies of the devices and circuits that
carry through the linearization.
The main focus of this dissertation besides the theory was the development of
an experimental practical part, with the creation of a prototype. This, together with
the use of some numerical methods applied to the resources of the digital pre-
distortion; permit us to set an efficient linearization of the TV signals. This process
starts on the analog models and have nowadays a great development with the digital
one.
Index Terms: Linearization, Numerical Methods, RF Power Amplifiers,
Digital Pre-Distortion, Adaptive Control.
1
C
APÍTULO
1
INICIAIS
1.1 – I
NTRODUÇÃO
O Grupo de Pesquisa em
HDTV
do Instituto Nacional de Telecomunicações
(
INATEL
) foi formado no início 2003, com a missão de desenvolver um sistema para
transmissão de
TV Digital
, independente do padrão que venha a ser adotado no
Brasil.
Um dos objetivos essenciais deste projeto é o desenvolvimento de um
trabalho de cooperação em Pesquisa entre o
INATEL
, e a
Empresa Linear
Equipamentos Eletrônicos S.A.
, sendo este aprovado pela Financiadora de Estudos e
Projetos do Ministério da Ciência e Tecnologia (
Finep
), com recursos do Fundo
Setorial para o Desenvolvimento Tecnológico das Telecomunicações (
Funttel
).
A idéia fundamental que norteia este nosso Projeto é permitir que um grande
número de alunos de Iniciação Científica e Mestrado do Grupo de Pesquisa possam,
ao mesmo tempo concluir seus estudos, participar do projeto dos diversos módulos
do sistema de transmissão digital de sinais digitais de vídeo, implementação e testes
de um equipamento de fundamental importância para o nosso País, de modo que
possamos cumprir todas as etapas almejadas, dentro do prazo que está sendo
proposto para a homologação do equipamento pela Agência Nacional de
Telecomunicações (
ANATEL
).
1.2 – O
BJETIVO DO
T
RABALHO
O presente trabalho se propôs a eliminar o problema da não linearidade de
amplificadores de RF, que geram altos níveis de intermodulação causando
interferência nos canais adjacentes além da distorção do próprio sinal de vídeo em
teste. A solução comumente usada por engenheiros da área é fazer um recuo
(
backoff
) da região não linear o que acarreta uma perda na eficiência do modelo
adotando como principal objetivo criar e otimizar o hardware a fim de torná-lo
adaptativo, o que em uma primeira implementação pode ser feita com o uso de
PLD´s. O principal desafio foi desenvolver um software que faz o processamento do
sinal em tempo real tornando o módulo em teste com característica linear. Esta
2
pesquisa é de grande valia para o projeto de
HDTV
o qual exige uma rigorosa
eficiência no espectro.
A linha de pesquisa delineada nesta dissertação está intimamente vinculada
ao trabalho de cooperação tecnológica entre o INATEL/LINEAR cujo objetivo está
enfocado dentro da área:
Dispositivos de Alta Freqüência
envolvendo derivações nas
sub-áreas:
Análise Numérica de Dispositivos Não Lineares
e
Linearização de
Amplificadores de Potência em RF
.
É uma área de vital importância, pois estará vinculada ao sistema completo de
transmissão de
TV Digital
, dentro dos padrões a serem fixados pela ANATEL. Este
Projeto atende a uma demanda solicitada pelo governo de podermos implementar um
equipamento de produção nacional e que seja competitivo aos equipamentos
importados que já estão disponíveis nos mercados externos ao nosso País. Este foi
um crédito dado ao nosso Instituto pela sua tradição na execução de Projetos com
uma ampla iteração com Empresas. Acrescente-se ainda, o fato da Empresa Linear
ser a mais reconhecida em toda a América Latina por ter acumulado uma vasta
experiência na produção de amplificadores de potência na faixa de
Ultra High
Frequency
(
UHF
) e microondas de RF, e aqueles voltados para TV, dentro da
conotação analógica.
O Projeto derivado desta dissertação envolveu e envolverá diversas
características acadêmicas no que tange a um domínio completo das ferramentas de
apoio computacional usadas para a linearização de amplificadores de potência em
RF
, sendo que o
INATEL
já possuí algumas licenças de um poderoso programa
computacional nesta área: o
ADS (Agilent)
. As restrições da legislação sobre a
emissão de espúrios são bem mais rigorosas com sinais digitalmente modulados,
exigindo uma eletrônica muito mais complexa que a utilizada tradicionalmente nos
transmissores analógicos em uso no país. Na redução do tempo de desenvolvimento
dos equipamentos de transmissão, são decisivas as ferramentas de análise numérica
para estimar os efeitos das
não linearidades
dos componentes eletrônicos na emissão
de espúrios.
Pelas publicações já alcançadas pelo nosso grupo dentro desta área de
pesquisa, temos completa consciência que todas as etapas delineadas para o projeto
completo do sistema estão sendo e serão cumpridas. Esta pesquisa aplicada tem
3
suscitado interesse de comunidades cientificas nacionais e internacionais o que tem
sido constatado através de participações de pesquisadores do nosso grupo em
congressos de fórum internacional.
1.3 – R
ELEVÂNCIA DO
P
ROJETO
Um dos pontos importantes do Projeto é o momento tecnológico, dado que
em todo o mundo se procura implementar equipamentos de potência com maior
eficiência na conversão da energia com a menor emissão de espúrios possível.
Muitos periódicos de circulação internacional contemplam um número considerável
de artigos que relatam o esforço dos pesquisadores em otimizar o desempenho dos
amplificadores de potência de RF. As metas estabelecidas em níveis mundiais para
que a transmissão por TV passe de analógica para digital em curto espaço de tempo
tem provocado um grande surto de pesquisas envolvendo modelos não lineares em
muitos laboratórios de renome internacional.
Cabe ainda salientar, que são incontáveis os recursos economizados para o
nosso País que irá trazer a implementação de um sistema completo de
HDTV
, com
uma redução expressiva de dispositivos importados caso venhamos a produzi-lo. Isto
força as nossas equipes de pesquisa em colaboração com Empresas, a trabalharem
acelerado para a produção de um equipamento inteiramente nacional. Se levarmos
em consideração que pelo menos uma unidade deste equipamento deverá estar em
cada cidade do nosso País, e estimando-se (por baixo) em 2500 unidades, pode-se
constatar que adicionando-se taxas de importação, os gastos chegarão a cifras de
centenas de milhões de dólares. Isto faz com que todos estejamos concentrados em
não medir esforços para que tal Projeto possa ser realizado, e que possa não somente
trazer divisas ao País, mais seja também a nível acadêmico uma excelente
oportunidade de fazer com que os nossos pesquisadores possam participar de um
Projeto de interesse científico de toda a comunidade internacional.
1.4 – E
STADO DA
A
RTE
Grande parte dos circuitos eletrônicos usados em equipamentos de
telecomunicações empregam dispositivos semicondutores não lineares. Integram o
segmento os sintetizadores, moduladores, demoduladores e translatores espectrais
4
(misturadores, conversores e multiplicadores de freqüência). A modelagem
matemática desses dispositivos é um dos grandes desafios da engenharia, pois a
análise não linear é uma área do conhecimento ainda em evolução, desprovida do
notável suporte teórico operacional amplamente dominado dentro do enfoque da
análise linear.
Em análise não linear quase sempre busca-se adequar a um modelo linear
limitado (linear por partes ou de excursão incremental), abordagem esta comum em
circuitos ativos em pequenos sinais. Na operação em grandes sinais, esse
procedimento diverge proporcionalmente ao nível do sinal aplicado, sendo a fronteira
entre estes domínios bastante desfavorável na maioria das aplicações. Uma grande
parte dos circuitos eletrônicos lineares de uso corrente comportam-se de forma não
linear. Se a característica não linear do dispositivo for matematicamente bem
definida, esse modelo permite analisar com precisão, o comportamento de
misturadores, conversores e de multiplicadores de freqüência.
Se a função de transferência do amplificador tem somente o termo de
primeira ordem, a sua saída será uma função linear do sinal de entrada, e neste caso
não ocorrerá o fenômeno de intermodulação.
Entretanto, o amplificador real pode ser representado por uma equação de
terceira ordem, e neste caso nós temos os comportamentos de expansão ou
compressão de ganho.
Considerando que podemos desprezar todos os efeitos provocados pelo termo
de segunda ordem, a nossa análise pode ser simplificada para o caso do amplificador
real, para somente dois termos polinomiais envolvendo a saída e a entrada do
amplificador: o termo linear e o termo de terceira ordem.
Uma das conclusões que podemos extrair desta análise prévia é o fato que
somente as componentes de distorção geradas pelo termo de terceira ordem tem um
papel principal na transmissão de um sinal de TV, o que nos sugere que um controle
deste termo pode nos permitir operar com o amplificador no seu regime linear.
Logo a estratégia de linearização do amplificador que iremos adotar no
desenvolvimento deste projeto é gerar um termo de distorção de 3
a
ordem.
1.5 – A
BORDAGEM
M
ETODOLÓGICA
5
Nesta dissertação foram adotados os seguintes passos para o desenvolvimento
deste trabalho, adotando a seguinte metodologia:
Identificação e acompanhamento do estado da arte da tecnologia atual para
o modelagem e a implementação dos módulos amplificadores de alta potência;
Identificação e acompanhamento dos trabalhos publicados em congressos
e revistas de fórum nacional e internacional;
Estudo de soluções parciais, identificação e remoção dos pontos críticos, e
análise comparativa de desempenho através de desenvolvimentos teóricos e de
simulações computacionais;
Estudo minucioso de cada módulo que compõe o
hardware de
Linearização
a ser implementado;
Domínio completo dos
softwares
que auxiliaram nas simulações e no
acompanhamento das montagens implementadas;
Domínio completo dos dispositivos eletrônicos ensaiados dentro do
conjunto desenvolvido;
Foram publicados alguns artigos em congressos de fórum internacional, bem
como em periódicos relacionados com o domínio de conhecimento em questão, ao
longo do desenvolvimento das várias etapas estruturadas do Projeto, salvaguardando
a questão de proteção do
"know-how"
tecnológico adquirido na construção do
equipamento.
1.6 – C
ONTEÚDO DA
D
ISSERTAÇÃO
A dissertação está subdividida em duas partes principais: teórica e pratica.
Teórica: esta parte contém os capítulos 2, 3 e 4, no qual estão
respectivamente os conceitos de televisão digital, pré-distorção, linearização e
intermodulação. O método numérico utilizado é o da Correlação e Regressão linear,
em que estão contidos os conceitos e técnicas que foram empregadas na construção
do protótipo.
Prática: montagem de um módulo de linearização. Independentemente do
padrão a ser adotado, esta parte foi desenvolvida na Empresa Linear Equipamentos
Eletrônicos S.A.
6
C
APÍTULO
2
TV DIGITAL
2.1 – I
NTRODUÇÃO A
TV D
IGITAL
Um tema que vem ganhando grande destaque nos meios de comunicação, nos
governos de muitos países e na indústria, é a televisão de alta definição (
HDTV –
High Definition Television
). Este tema, porém, não é novo: vem sendo estudado e
pesquisado há muitos e muitos anos, com o intuito de aperfeiçoar o sistema de
televisão. Para isso, hoje, estudam-se os efeitos e os resultados que serão alcançados
com a mudança do sistema analógico para o sistema digital, ou seja, a transmissão de
sinais de televisão na forma digital. Com essa tecnologia digital podemos garantir
uma melhor qualidade de imagem (
alta definição
) e áudio com qualidade de
CD
(
compact disc
), além de poder prover grande gama de recursos
(1)
(2)
.
O sistema digital, entretanto, apresenta alguns inconvenientes quanto à
degradação do sinal, pois mesmo a detecção do sinal pode sofrer mudanças abruptas,
ou seja, tem-se uma imagem perfeita ou não se possui imagem alguma, enquanto que
no sistema analógico tem-se desde uma imagem perfeita até a uma péssima imagem
(3)
.
As emissoras de televisão já utilizam sinais digitais em filmagens,
armazenamento, edições e em transmissão entre as afiliadas, com exceção da
transmissão em radiodifusão e da recepção pelo usuário. Portanto, quando falamos
em
TV Digital
, estamos interessados em saber como as emissoras vão distribuir esse
sinal na forma digital, adotando para tal, padrões e tecnologias estudados em
diversos países
(4)
(5)
(6)
(7)
(8)
. Na atual literatura, a transmissão digital de TV é
designada por
DTTB
(
Digital Terrestrial Television Broadcasting
).
O sistema digital de televisão é um excelente negócio de US$ 100 bilhões em
12 anos, no vasto mercado brasileiro. Uma estimativa simplesmente realista indica
uma demanda de até 4 milhões de televisores digitais por ano num mercado potencial
de 60 milhões de aparelhos. A penetração da TV chega a 94 % da população nas
classes A, B e C e atinge 55 % da classe D, além do que, a escolha pelo Brasil será
um fator determinante naquele que será usado na maior parte dos países da América
7
do Sul. Estes tenderão a optar pelo mesmo sistema como forma de aproveitar as
matrizes industriais e os serviços a serem implantados.
As diretrizes para a implantação do sistema de
TV Digital
no país revelam a
opção do governo por um serviço acessível à população, em médio prazo, e a
estratégia de fazer desse processo uma oportunidade de desenvolvimento da indústria
nacional. A
TV Digital
deverá ser gratuita, aos usuários aberta e acessível a quem
utilizar o atual sistema analógico. O fornecedor desta tecnologia deverá capacitar os
técnicos brasileiros, transferir
know-how
aos nossos fabricantes, de forma a
possibilitar a fabricação local de, pelo menos, metade dos televisores em prazos a
serem negociáveis com as indústrias, menores encargos com
royalties
, maiores
investimentos em fábricas locais, melhores perspectivas de exportações de aparelhos
e serviços para os países vizinhos, etc... . Deve-se acrescentar ainda que deverá estar
assegurada uma melhor qualidade de transmissão de som e imagem, e a respectiva
interatividade com o público, condição necessária para fazer da TV um veículo de
comércio e serviços eletrônicos.
As diretrizes determinam que o dono de um televisor analógico poderá
comprar um conversor (
set-top box
) que lhe permitirá receber o sinal digital. Prevê-
se que a migração da tecnologia de transmissão analógica para digital possa perdurar
um período de transição de cerca de dez anos, com proposta inicial de dispor o
espectro de freqüências de modo que cada canal analógico se posicione em paralelo
com um canal digital.
Os problemas que impedem a expansão e o sucesso comercial da
TV Digital
não decorrem da tecnologia, mas sim, do modelo de negócios. Num momento difícil
para a economia mundial, como o atual, a situação se agrava ainda mais, pois a
maioria dos usuários não está convencida das vantagens da nova televisão, nem se
dispõe a pagar os preços exorbitantes dos equipamentos, cujo sucesso comercial
exige massa crítica e muito tempo de maturação, mesmo diante dos novos recursos
atraentes e sofisticados oferecidos pela tecnologia
(9)
(10)
.
2.2 – B
REVE
H
ISTÓRICO
8
Na década de 70, foram iniciados estudos no Japão, mas somente na de 80, os
estudos e pesquisas foram mais contundentes e ganharam força em todo o mundo,
espalhando-se pela Europa e pelos Estados Unidos.
No Japão, ficou conhecido como o sistema
MUSE
(
Multiple Sub-Nyquist
Sampling Ecoding
) e na Europa, como
EUREKA
.
A intenção da
TV Digital
é proporcionar imagem e som como aquelas de uma
sala de cinema, ou seja, tornar a tela mais larga (
widescreen
) e garantir uma melhor
qualidade de áudio e vídeo
(11)
(12)
(13)
(14)
(15)
(16)
.
Desde o início do desenvolvimento, tinha-se esperança de que fosse adotado
mundialmente um padrão de
TV Digital
, mas isso não perdurou muito tempo, de
modo que alguns países investiram seus esforços no desenvolvimento de seus
próprios padrões: Através de alguns grupos de pesquisa, importantes sistemas
conquistaram um espaço no cenário internacional, sendo que
a International
Telecommunications Union
(
ITU
) estipulou como modelo a Fig. 2.1, cabendo
destacar:
Nos Estados Unidos a
GA
(
Grand Aliance
) iniciou os estudos e mais
tarde fundou-se o
ATSC
(
Advanced Television System Committee
), utilizando-se do 8
VSB
(
Vestigial Side Band
), tendo sido desenvolvido o sistema americano
(17)
(18)
(19)
cuja configuração é apresentada pela Fig.2.2 e algumas informações constam na Tab.
2.1.
Figura 2.1
Modelo de Referência ITU para a TV Digital
Dados Auxiliares
Dados de Controle
Codificação de
sinal-fonte
Codificação de
sinal-fonte
Multiplexação
de
Sinais
Codificação
de Canal e
Modulação
Vídeo
Áudio
9
Figura 2.2
Modelo de Referência do Sistema Americano
Tabela 2.1
Características do Sistema Americano
Característica Valor
Modulação
8 VSB
Sistema de Áudio
Dolby/AC-3
Largura de Faixa
6 MHz
Formatos Sustentados
SDTV, EDTV e HDTV
Taxa de Símbolos
10,76 Msímbolos/segundo
Taxa de Bits Úteis
19,28 Mbps
Na Europa o
DVB-T
(
Digital Video Broadcasting – Terrestrial
)
utilizando-se do
COFDM
(
Coded Orthogonal Frequency Division Multiplexing
),
desenvolveu o sistema europeu
(20)
(21)
com sua configuração apresentada na Fig.2.3 e
na Tab. 2.2.
No Japão
o DiBEG
(
Japan's Digital Broadcasting Experts Group
) ajudou
no desenvolvimento do sistema
ISDB-T
(
Integrated Service Digital Broadcasting
),
utilizando-se do
BST-OFDM
(
Bandwidth Segmented Transmission – Orthogonal
Frequency Division Multiplexing
)
(22)
podendo ser visualizado na Fig.2.4 e na Tab.
2.3.
Dados de Controle
Sistema de Multiplexação
Radiofusão
Cabo
Satélite
Sistema de Transmissão
Sistema de Codificação do
sinal fonte
MPEG-2
Vídeo
Dolby AC-3
Multiplex.
MPEG-2
Sistemas
Modulação 8-VSB
Modulação 64 QAM
Modulação QPSK
Dados Auxiliares
Vídeo
Áudio
10
Figura 2.3
Modelo de Referência do Sistema Europeu
Tabela 2.2
Características do Sistema Europeu
Característica Valor
Modulação
COFDM
Modulação das Sub-Portadoras
QPSK, 16 QAM ou 64 QAM
Sistema de Áudio
MPEG-2 BC
Largura de Faixa
6MHz, 7MHz ou 8 MHz
Formatos Sustentados
SDTV, EDTV e HDTV
Taxa de Bits [Mbps]
Mínima: 4,98 - Máxima: 31,67
Tabela 2.3
Características do Sistema Japonês
Característica Valor
Modulação
COFDM
Modulação das Sub-Portadoras
QPSK, DQPSK, 16 QAM ou 64 QAM
Sistema de Áudio
MPEG-2 AAC
Largura de Faixa
6MHz, 7MHz ou 8 MHz
Formatos Sustentados
SDTV, EDTV e HDTV
Taxa de Bits [
Mbps
]
Mínima: 4,98 - Máxima: 31,67
Sistema de Multiplexação
Radiofusão
Cabo
Satélite
MMDS (<10GHz)
LMDS (>10GHz)
Sistema de Transmissão
Sistema de Codificação do
sinal fonte
MPEG-2
Vídeo
MPEG-2
Áudio
Multiplex.
MPEG-2
Sistemas
Modulação COFDM
Modulação QAM
Modulação QPSK
Vídeo
Áudio
Dados Auxiliares
Dados de Controle
Modulação QAM
Modulação QPSK
11
Sistema de Multiplexação
Radiofusão
Cabo
Satélite
Sistema de Transmissão
Sistema de Codificação do
sinal fonte
MPEG-2
Vídeo
MPEG-2
AAC
Multiplex.
MPEG-2
Sistemas
Modulação COFDM
Modulação 64 QAM
Modulação 8PSK
Dados Auxiliares
Dados de Controle
Vídeo
Áudio
Figura 2.4
Modelo de Referência do Sistema Japonês
Na China vem sendo desenvolvido o sistema experimentalmente
chamado de
DMB
(
Digital Multimedia Broadcast
) como resultado de um esforço que
começou há alguns anos e envolveu vários grupos acadêmicos, entre eles a Tsinghua
University, a mais respeitada universidade chinesa de tecnologia, e a empresa norte-
americana
Legend Silicon
. Posteriormente, deverá ser formada uma espécie de
GA
que no decorrer de 2004, deve determinar o padrão de
TV Digital
terrestre para a
China
(23)
.
Cabe ainda ressaltar que os pesquisadores estão sempre na busca incessante
do aperfeiçoamento desses padrões, tendo sido criada uma competição entre os
países que não possuem um padrão e querem implementar um serviço de
TV Digital.
Com o desenvolvimento dos sistemas, iniciaram-se testes e comparações não
somente em relação à parte técnica específica de cada país, mas também todo um
complexo de fatores intrinsecamente relacionados com a definição de um padrão,
especifico, tais como: a transferência de tecnologia, a política e outros itens
envolvendo economia. A Tab. 2.4 apresenta a demanda atual deste mercado
tecnológico em alguns países com sistema definido
(24)
.
12
Tabela 2.4
Demanda atual do mercado de HDTV em alguns países
P
adrão Países
Lares com TV
(milhões
)
Número atual de
TV (milhões
)
EUA, Canadá e Coréia do Sul 125 267
ATSC
Taiwan e Argentina
(possível revisão do padrão)
15 18
DVB
Países da UE, Austrália, Índia,
Nova Zelândia e Cingapura
205 270
ISDB Japão 45 100
XX
I
Brasil 38 53
2.3 – M
ODALIDADES DE
TV
DIGITAL
O estado da Arte atual contempla, as seguintes modalidades de
TV Digital
: a
SDTV
(
Standard Definition Television
)
, a
EDTV
(
Enhanced Definition Television
) e
o sistema
HDTV
.
O
HDTV
foi desenvolvido com a intenção de se ter uma excelente qualidade
de áudio e vídeo. Após um longo período de estudos e pesquisas, foram atingidos os
seguintes patamares para este sistema: uma resolução de
1080 linhas
(
1920
pixels/linha
) no modo entrelaçado ou
720 linhas
(
1280 pixels/linha
) na varredura
progressiva em tela com Razão de Aspecto (
RA
)
RA=16:9
. O sistema de áudio
utilizado é o estéreo
surround
5/1 com 3 alto-falantes frontais (esquerdo, central e
direito), dois traseiros (
surround
direito e esquerdo) e um para freqüências hiper
baixas, visto na Fig. 2.5.
A
SDTV
possui resolução espacial de
480 linhas
(
640 pixels/linha
) e
resolução temporal de 60 quadros/segundo em um modo entrelaçado. Apesar dos
valores serem parecidos com o da TV analógica, a qualidade da imagem é bem
superior não apresentando chuviscos e cores cruzadas e um valor do formato da tela
igual a 4:3. O áudio utiliza o estéreo bicanal (2/0) com alto-falantes direito e
esquerdo.
A
EDTV
é a modalidade intermediária entre a
SDTV
e a
HDTV
, apresentando
uma melhor qualidade em relação a
SDTV
e um pior desempenho quando comparado
I
Padrão a ser definido
13
ao sistema
HDTV
, com uma relação de aspecto 16:9 e uma resolução
480 linhas
(
720
pixels/linha
) no modo de varredura progressiva. Quanto ao sistema de áudio, é o
mesmo adotado na modalidade
HDTV
e, a Tab. 2.5 apresenta a codificação de áudio
dos principais padrões.
Figura 2.5
Sistemas de alto-falantes para a TV Digital conforme a ITU
Tabela 2.5
Codificação de áudio
Plataforma Codificação Adicionais
ATSC Dolby AC-3
Padrão proprietário
MPEG-1
Estéreo (2/0)
DVB
MPEG-2 BC
Multicanal 5/1
ISDB MPEG-2 AAC
Melhor desempenho que o
BC
Figura 2.6
Modalidades de Transmissão da TV Digital
De uma forma geral, podemos dizer que a taxa de transmissão de dados de
um sistema
HDTV
é bem mais elevada que a do
SDTV
, ocupando toda a largura de
SDTV SDTV SDTV SDTV D
SDTV D
D
EDTV
HDTV
L - Left
Ls - Left Surround
R - Right
Rs - Right Surround
LFE - Low Frequency Enhancent
Usuário
LFE
R
C
L
Ls Rs
14
faixa do canal. Por outro lado, o
SDTV
transmite uma taxa menor, permitindo que na
mesma banda do canal sejam transmitidos até 4 canais de TV, como ilustrado na Fig.
2.6
(25)
.
2.4 – O
S
I
MPACTOS DA TV DIGITAL NO BRASIL
Sem sombra de dúvida, a introdução da
TV Digital
no Brasil causará impactos
de diversas ordens em nossa sociedade. Iremos analisar nos próximos tópicos, dois
aspectos fundamentais relacionados à introdução da tecnologia digital no universo
cultural do nosso povo.
2.4.1 – A
EXPECTATIVA DOS USUÁRIOS BRASILEIROS
Para o usuário em potencial da
TV Digital,
vários aspectos são relevantes no
tratamento do tema acima referenciado, tais como: imagem em alta definição,
transmissão simultânea de diversos programas, comércio eletrônico, interatividade,
custo dos equipamentos, dentre outros.
A televisão de alta definição é um serviço exigido pelos consumidores, que
ficam atraídos pela qualidade da imagem, com uma demanda em paralelo de uma
grande variedade dos serviços de informação com aplicações interativas.
Os usuários exigirão uma programação segmentada e especializada, com a
possibilidade de transmissão simultânea de múltiplos programas no mesmo canal,
programas estes com aplicações interativas e comerciais.
Se faz mister ressaltar ainda que a
TV Digital
pode oferecer alguns benefícios
aos portadores de deficiências sensoriais através de programas que atendam às suas
necessidades, com a finalidade precípua de propiciar acesso à informação. São
exemplos o
Closed Caption
e a inserção de um
PIP
(
Picture in Picture
), com a
presença de uma pessoa empregando a linguagem de sinais
.
Em um futuro bem próximo, podemos dizer que é grande a expectativa dos
usuários brasileiros em torno da introdução da
TV Digital
, porém diversas medidas
deverão ser tomadas para que esse sonho seja realizado, dentre elas está a definição
do padrão a ser utilizado no Brasil, que, como país de liderança no Mercosul, tornar-
se-á referência para toda a América Latina
(26)
.
15
2.4.2 – O
S FATORES SOCIAIS E CULTURAIS
A televisão ocupa um papel muito importante no cenário sócio-cultural
brasileiro e, em virtude de ser o maior meio de comunicação de massa no Brasil,
exerce grande influência nos hábitos da população.
Assim, os programas de televisão em alta definição sempre terão boa
acolhida, inclusive os interativos, que possuem maior tendência para atrair
espectadores. A segmentação da programação é outro fator relevante em virtude de
haver pessoas com gostos diferentes exigindo várias opções de programas.
A
TV Digital
poderá também ser um instrumento útil na área educacional,
máxime no que tange ao ensino a distância. Com a evolução dos meios de
comunicação, a televisão e os aparelhos de reprodução em vídeo (
Video Cassette
Recorder
-
VCR
e
Digital Video Disc
-
DVD
) tornaram-se importantes na aplicação
de recursos didáticos em sala de aula, bem como na capacitação dos educadores.
Por conseguinte, com a
TV Digital
poderá haver aumento significativo na
quantidade de programas e disponibilização de recursos avançados para flexibilizar o
trabalho do professor e/ou do coordenador pedagógico.
A interatividade da
TV Digital
poderá ser utilizada de forma racional,
contribuindo para que o poder público seja mais acessível à população,
possibilitando, como exemplo, o pagamento
on-line
de tributos, a requisição de
informações (Previdência Social, Receita Federal,...), dentre outros.
Por fim, sendo a televisão o meio de comunicação de maior penetração no
seio da população, a
TV Digital
certamente influenciará nos fatores sócio-culturais
do Brasil, produzindo efeitos diversos nos vários segmentos da nossa sociedade
(27)
.
16
2.5 – R
EFERÊNCIAS
B
IBLIOGRÁFICAS DO
C
APÍTULO
2
(1)
Anatel, Utilização da Tecnologia Digital na Transmissão Terrestre de Televisão; Comentários do
Advanced Television Systems Committee, Junho 2001.
(2)
Chiquito, J.G., Arantes, D.S. e Costa, M.H.M., Considerações sobre o relatório final da
SET/ABERT para definição do padrão de televisão digital no Brasil; DECOM/FEEC/UNICAMP ,
Julho de 2000
(3)
Fasolo, S.A., Equalização em Receptores de Televisão Digital de Alta Definição Utilizando
Modulação 8VSB; Tese de Doutorado, Unicamp, 2001.
(4)
ATSC Comments on the SET/ABERT, March 20, 2000
(5)
Whitaker, J., and Benson, B., Standard Handbook of Video and Television Engineering; McGraw-
Hill Professional; 3
rd
, February 29, 2000.
(6)
Robin, M., and Poulin, M., Digital Television Fundamentals; McGraw-Hill Professional; 2
nd
Ed.,
June 30, 2000.
(7)
Massel, M., Digital television DVB-T, COFDM and ATSC 8-VSB; digitaltvbooks.com, October 19,
2000.
(8)
Collins, W.G., Fundamentals of Digital Television Transmission; Wiley - Interscience; 1
th
, October
20, 2000.
(9)
Grob B., Televisão e Sistemas de Vídeo; Editora Guanabara.
(10)
Tavares, W.M.L., Implantação da Televisão Digital no Brasil; Consultoria Legislativa da Área
XIV, Setembro 2001.
(11)
Fasolo, S.A., Iano, Y., Mendes, L.R., e Chiquito, J.G., Sistemas de Modulação para Transmissão
de Televisão Digital de Alta Definição; Revista do Instituto Nacional de Telecomunicações, Vol. 03,
N
o
1, Abril 2000.
(12)
Silva, E. L.O., Iano, Y., Vásques, G.L.K., e Chiquito, J.G., Uma Visão Geral do Sistema de
Compressão de Áudio Para HDTV (MPEG) – Sistema Europeu; Revista do Instituto Nacional de
Telecomunicações, Vol. 03, N
o
1, Abril 2000.
(13)
Silva, E. L.O., Iano, Y., e Vásques, G.L.K., Compressão de Sinais de Áudio para HDTV:Sistema
Dolby AC-3 (U.S.A.); Revista do Instituto Nacional de Telecomunicações, Vol. 03, N
o
1, Abril 2000.
(14)
Iano, Y., Mendes, L.R., Sablón, V.I.B., e Nalon, J.A., Televisão Digital de Alta Definição –
HDTV; Revista do Instituto Nacional de Telecomunicações, Vol. 03, N
o
1, Abril 2000.
(15)
Iano, Y., Mendes, L.R., Sablón, V.I.B., e Pietro, R..T.D, Subsistema de Compressão e Codificação
do Sinal de Vídeo (Parte I); Revista do Instituto Nacional de Telecomunicações, Vol. 03, N
o
1, Abril
2000.
(16)
Iano, Y., Mendes, L.R., Sablón, V.I.B., e Pietro, R..T.D, Subsistema de Compressão e Codificação
do Sinal de Vídeo dos Padrões HDTV (Parte II); Revista do Instituto Nacional de
Telecomunicações, Vol. 03, N
o
1, Abril 2000.
(17)
ATSC Document A/53, “ATSC Digital Television Standard”, 1995.
(18)
www.atsc.org, acessado em 12/08/2003
(19)
Castro, F.C.C., Castro, M.C.F., Arantes, D.S. e Iano, Y., Enfocando a Codificação de Canal em
Transmissão 8-VSB para ATSC; Revista do Instituto Nacional de Telecomunicações, Vol. 03, N
o
1,
Abril 2000.
(20)
ETSI Document EN 300 744, “DVB-T: Framing structure, channel coding and modulation for
digital terrestrial television”, 1998.
(21)
www.dvb.org, acessado em 13/08/2003
(22)
ITU-R Document 11A/59-E, “Channel Coding, Frame Structure and Modulation Scheme for
Terrestrial Integrated Services Digital Broadcasting (ISDB-T); Proposed Draft New
Recommendation (Japan), April 1999.
(23)
Zhang, W., Xia, J., Wang, K., and Ge, J., An Advanced Digital Broadcasting System; August 2001
(24)
Tome, T., PESSOA, A.C F., Rios, J.M.M. et al., Relatório Integrador dos aspectos técnicos e
mercadológicos da Televisão digital, CPqD, versão 1.0 – 28/03/01.
(25)
Fasolo, S.A., e Mendes, L.L., Técnicas de transmissão de sinais em TV Digital; International
Week of Telecommunication 2002, Santa Rita do Sapucaí, INATEL, BRAZIL.
(26)
Fundação do Centro de Pesquisa e Desenvolvimento – CPqD, TELEVISÃO DIGITAL NO BRASIL
PESQUISA DE MERCADO; ANATEL.
(27)
Melo, P.R.S., Rios, E.C.S.D., e Gutierrez, R.M.V., TV Digital: Desafio ou Oportunidade?;
Gerência Setorial do Complexo Eletrônico, Novembro 2000.
17
C
APÍTULO
3
AMPLIFICADORES DE RF
3.1 – I
NTRODUÇÃO
Amplificadores de potência usados na transmissão de sinais de vídeo devem
apresentar excepcional linearidade: os padrões para transmissão de sinais de vídeo
digital são rigorosos e exigem enorme eficiência no espectro, impondo rigorosos
níveis de Distorção de Intermodulação (
IMD
), além de possuir formatos de
modulação muito sensíveis às distorções não lineares.
Uma das principais características dos amplificadores é o seu comportamento
não linear que gera a chamada
IMD
, causando perda de eficiência no espectro. Uma
forma de se evitar tal problema seria usar os amplificadores Classe A e fazer o recuo
desta região, o que causaria perda de eficiência de potência. Para a resolução de tal
problema é importante conhecer a fundo o comportamento dos amplificadores e as
técnicas utilizadas para se fazer a linearização. O enfoque do nosso trabalho foi
voltado para a técnica de Pré-Distorção digital, em que o sistema proverá uma saída
altamente linear, sem perder a sua eficiência.
Dois tipos de distorções serão considerados: a de amplitude e a de fase.
Qualquer amplificador possui um nível máximo de saída (nível de saturação) e à
medida que se aplica o sinal de entrada, a sua relação com o sinal de saída se torna
diferente de uma linha reta. Na região próxima do nível de saturação haverá
compressão do sinal. A literatura refere-se a isto como sendo a distorção
AM/AM
.
Similarmente, existe uma alteração na fase do sinal de saída com a aplicação do sinal
de entrada, o que é referenciado com o nome de distorção
AM/PM
. A Fig. 3.1
fornece uma descrição pictórica desses dois casos de distorção
(1)
(2)
(3)
.
3.2 – G
ANHO
D
IFERENCIAL E
F
ASE
D
IFERENCIAL
Existe outra forma de representar as curvas
AM/AM
e
AM/PM
de um
amplificador, através do Ganho Diferencial (
DG
) e da Fase Diferencial (
DP
). Para
ilustrar essa nova representação, toma-se como exemplo o sinal de teste mostrado na
18
seqüência pela Fig.3.2. Já a Fig. 3.3 mostra a curva de
DG
e
DP
, medidos a partir do
analisador de vídeo
TEK
VM-700T. Nota-se que a característica do sinal em teste é
não linear, pois o ideal seria que todos os segmentos de reta estivessem sobre o eixo
zero.
2468101214016
10
12
14
16
18
20
22
24
8
26
RFpower
Potência de Saída (dBm)
468101214216
0.80
0.85
0.90
0.95
0.75
1.00
RFpower
AM/AM (dB/dB)
Figura 3.1(a)
Curvas AM/AM
19
2 4 6 8 10 12
14
0
16
68
70
72
66
74
RFpower
Fase em Graus
4 6 8 10 12 14
2
16
-0.0
0.2
0.4
0.6
0.8
-0.2
1.0
RFpower
AM/PM (graus/dB)
Figura 3.1(b)
Curvas AM/PM
Figura 3.1
Curvas típicas de um amplificador
20
Tempo em Segundos
Unidade IRE
Figura 3.2
Sinal de vídeo FCC
Figura 3.3
Sinais do DG e DP medidos para o sinal de teste
3.3 – D
ISTORÇÕES
Segundo Marlio Bonfim, “ É o nome genérico dado aos erros introduzidos em
um sinal de entrada
i
V
quando o mesmo sofre algum processamento tal como:
amplificação, filtragem, equalização etc.... O sinal de saída
0
V
contém o sinal
original
i
V
somado às componentes de erro, que podem ser lineares ou não lineares.
A distorção é sempre uma medida relativa a um sinal de entrada, ou seja, não
havendo sinal de entrada não há distorção”
(*)
(4)
(5)
(6)
(7)
(8)
(9)
(10)
.
21
Um amplificador ideal é capaz de amplificar um sinal senoidal puro,
produzindo também uma forma de onda senoidal. Ocorrendo a distorção, a saída não
representa, o sinal de entrada com exatidão.
A distorção pode ocorrer porque as características dos dispositivos são não
lineares. Neste caso, ocorre distorção não linear ou de amplitude, podendo ser
observada em todas as classes de operação dos amplificadores. A distorção também
pode ocorrer porque os elementos do circuito e dispositivos respondem a um sinal de
entrada de forma diferente nas várias freqüências, sendo este caso chamado de
distorção em freqüência.
Uma das técnicas usadas para descrever a distorção baseia-se na análise de
Fourier. Esse método descreve qualquer forma de onda periódica em termos de
componentes de freqüência: a fundamental e os múltiplos inteiros dela
(11)
(12)
.
3.3.1 – D
ISTORÇÃO
L
INEAR
É o nome dado quando o sinal de saída não possui componentes de
freqüências além daquelas presentes no sinal de entrada. Se a entrada
i
V
é composta
por uma freqüência única, o espectro de saída de
0
V
terá a mesma forma que o de
i
V
.
Neste caso, a relação funcional entre a entrada e a saída pode ser:
)()(
0
tKVtV
i
=
(3.1)
isto é, a tensão de saída é um múltiplo escalar da tensão de entrada.
A Fig. 3.4 ilustra a situação em que a tensão de saída é idêntica à da entrada,
apenas com certo ganho; e nenhum componente adicional na freqüência será
introduzido dentro ou fora da largura de faixa do amplificador.
22
Amplificador
Figura 3.4
Sistema de Amplificação simples
3.3.2 – D
ISTORÇÃO
H
ARMÔNICA
Na Distorção Harmônica surgem componentes de freqüência múltiplas das
freqüências componentes do sinal de entrada. No caso mais geral, uma componente
de entrada do tipo
Acos(wt),
gera componentes de distorção harmônica em
2w
,
3w
,
4w
,... até a componente de
n
-ésima ordem
nw
. De forma geral, os harmônicos são
sinais distintos com freqüências múltiplas inteiras de uma dada freqüência
denominada fundamental.
Se provocarmos uma distorção em um sinal senoidal puro, aparecerão
harmônicos cujas freqüências e amplitudes serão proporcionais à quantidade e ao
tipo da distorção que foi provocada, podendo ser observada na Fig.3.5, logo a seguir.
Aplicando-se esse mesmo sinal senoidal puro a um amplificador, podemos
saber o quanto ele está distorcendo simplesmente medindo a quantidade de
harmônicos por ele gerado
(13)
(14)
(15)
(16)
.
A
n-ésima
distorção harmônica pode ser representada em percentuais por:
(%)100*
1
A
A
D
n
n
=
(3.2)
em que:
n
A
é a amplitude da
n-ésima
componente de freqüência,
.2i
1
A amplitude da freqüência fundamental
Logo, a distorção harmônica total (
DHT) é dada por:
23
(%)100*.....
22
4
2
3
2
2
n
DDDDDHT ++++=
(3.3)
que também pode ser expressa por:
(%)100*
.....
1
22
4
2
3
2
2
A
AAAA
DHT
n
++++
=
(3.4)
Em geral, quanto maior a amplitude do sinal de entrada, maior a DHT em um sistema
qualquer.
Figura 3.5
Distorções harmônicas
3.3.3 – D
ISTORÇÃO
N
ÃO
L
INEAR
Na distorção não linear o sinal de saída
0
V contém, além das componentes de
freqüência do sinal original
i
V , outras componentes de freqüência que não estão
necessariamente presentes no sinal de entrada. As novas freqüências geradas são
determinadas harmônicas, pois são múltiplos inteiros das freqüências do sinal de
entrada.
24
As novas freqüências criadas pela distorção não linear podem também provir
do batimento entre duas ou mais freqüências do sinal de entrada, sendo relacionadas
com a soma e a subtração entre as mesmas. Esse tipo de distorção é também
chamado de distorção por intermodulação, em função de sua semelhança com o
processo de modulação.
Para que um sistema seja linear, Fig. 3.6, a função de transferência do sistema
deverá ser representada pela equação de uma reta da Eq. (3.1) em níveis de tensão ou
pela Eq. (3.5) em níveis de potência:
)()(
10
tPKtP
i
=
(3.5)
em que:
)(
0
tP : Potência de saída
)(
tP
i
: Potência de entrada
1
K : Ganho do sistema linear
θ
k = Tg θ
Curva Linear
Figura 3.6
Sistema Linear
Como sabemos, em todo sistema real a saída
0
P será proporcional à entrada
i
P para apenas uma faixa de níveis, e existirá um valor limite de entrada no qual o
sistema não tem mais um comportamento linear. Neste caso, esse sistema passa a ser
representado pela Eq. (3.6).
n
iniii
tPKtPKtPKtPKtP )(......)()()()(
3
3
2
210
++++=
(3.6)
25
em que:
1
K
: Ganho do sistema linear
2
K
: Ganho quadrático
3
K
: Ganho cúbico
n
K
: O n-ésimo ganho
Com isso, com o aumento da amplitude na entrada, a saída corresponderá a
um valor maior ou menor que o esperado, dependendo dos coeficientes do
polinômio, e teremos, então, Compressão ou Expansão do ganho. Esta situação é
ilustrada na Fig. 3.7, na qual a curva superior indica a Expansão e a curva inferior a
Compressão.
Curva de compressão
Curva Linear
Curva de expansão
Figura 3.7
Sistemas: linear, de compressão e de expansão
Geralmente, os coeficientes do polinômio acima, Eq. (3.6), são números
complexos, o que significa que o sinal, ao ser processado pelo sistema, além de
experimentar uma variação em amplitude em função do sinal de entrada, conversão
AM/AM, experimentará também a chamada variação de fase em função do nível de
entrada
AM/PM.
3.3.4 –
D
ISTORÇÃO
P
OR
I
NTERMODULAÇÃO
Os dispositivos amplificadores em geral são não lineares. Como
conseqüência, teremos na saída um sinal que será igual a:
() ()
tVKtV
j
in
N
j
nout
=
=
1
=
(
)
(
)
(
)
(
)()
tVKtVKtVKtVKtVK
N
inninininin
+++++
4
4
3
3
2
21
(3.7)
26
Os termos adicionais representam as distorções. Estas são causadas pela não
linearidade do dispositivo e recebem o nome de distorções por intermodulação. Se
aplicarmos na entrada um sinal senoidal de freqüência
w, haverá na saída distorções
harmônicas de freqüências
2w, 3w, e assim por diante. Na Intermodulação surgem
componentes cuja freqüência é dada por soma ou diferença das freqüências de
entrada ou de suas harmônicas. Na Fig. 3.8, temos um dos casos possíveis, sendo
Acos(w
1
t) e Acos(w
2
t) os dois sinais de entrada, os produtos de intermodulação
apresentam as freqüências:
w
1
+w
2
, |w
1
-w
2
|, 2w
1
+w
2
, |2w
1
-w
2
|, 2w
2
+w
1
, etc....
W
1
W
2
2W
1
-W
2
2W
2
-W
1
2W
1
2W
2
3W
1
3W
2
W
1
+W
2
2W
1
+W
2
2W
2
+W
1
W
2
-W
1
Amplitude
Frequência
Figura 3.8 –
Freqüências fundamentais, distorções harmônicas e produtos de intermodulação
3.4 – C
OMPRESSÃO DO GANHO DE
1
D
B
O ponto de compressão de 1 dB, Fig. 3.9, ocorre quando o ganho passa a ser
1
dB menor do que deveria ser se esse efeito não ocorresse, ou seja, quando o
amplificador está trabalhando na região linear. Dependendo do nível do sinal
aplicado na entrada do amplificador, o transistor é levado a ponto de corte e/ou à
saturação, diminuindo a amplitude do sinal de saída acarretando conseqüentemente,
uma queda no ganho, sendo um parâmetro muito utilizado para se definir a máxima
potência de operação e/ou saturação.
A linearidade é necessária, especialmente quando há transmissão de mais de
uma portadora, sinais de TV ou quando é utilizada modulação em amplitude.
27
1 dB
P1dB
Ponto de Compressão de 1 dB
Figura 3.9
Compressão de 1 dB
3.5 – C
OMPORTAMENTO QUADRÁTICO
– 1
TOM
A forma mais simples de não linearidade de um amplificador é a soma de um
termo quadrático. A relação entrada/saída neste caso, Eq. (3.8), é :
)()(
2
210
tVKtVKV
ii
+=
(3.8)
O termo )(
2
2
tVK
i
adicionado é responsável pela distorção de 2
a
ordem. A
amplitude da componente fundamental de freqüência aumentará somente em
proporção ao ganho fundamental
1
K , e fica evidente que a amplitude do segundo
harmônico aumentará em proporção ao quadrado do sinal de entrada e também a
constante
2
K .
Quando um sinal analógico trafega por um sistema quadrático, tem-se na
saída uma distorção no domínio do tempo acompanhada de espúrios ou produtos de
intermodulação observados no domínio da freqüência. Considerando,
)cos()( wtAtV
i
=
(3.9)
tem-se:
)(cos)cos()(
22
210
wtAKwtAKtV +=
(3.10)
Usando uma relação fundamental da trigonometria, obtemos:
28
)2cos(
2
)cos(
2
)(
2
2
1
2
2
0
wt
AK
wtAK
AK
tV ++=
(3.11)
Como mostrada pela Eq. (3.11), a característica não linear quadrática do
amplificador produz harmônicos, surgindo um termo constante e um termo com o
dobro da freqüência de entrada, representando a distorção, conforme destacado na
Fig. 3.10.
0 100 200 300 400 500
0
0.5
1
1.5
2
2.5
3
3.5
4
A
Freqüência
Espectro de Entrada
0 100 200 300 400 500
0
0.5
1
1.5
2
2.5
3
3.5
4
A
Freqüência
Espectro de Saída
Figura 3.10(a)
Sinal de 1 tom: f
1
= 80 Hz
Figura 3.10(b)
Sinal
i
V
amplificado
Figura 3.10
Comportamento quadrático para 1 tom (Freqüência em HZ e Amplitude em dB)
3.6 – C
OMPORTAMENTO QUADRÁTICO
– 2
TONS
Fazendo agora a análise com dois sinais cossenoidais, conhecido na literatura
como um modelo com 2 tons, temos:
)()()(
21
tMtMtV
i
+
=
(3.12)
em que:
)cos()(
11
tAtM
ω
=
(3.13)
)cos()(
22
tBtM
ω
=
(3.14)
29
Fazendo a mesma análise de não linearidade na passagem por um
amplificador, cuja função de transferência é dada pela Eq. (3.10), temos:
2
212211
)coscos()coscos()( tBtAKtBtAKtV
o
ωωωω
+++=
(3.15)
Expandindo a expressão acima, teremos:
)2cos(
2
)cos( )cos(
)2cos(
2
)cos() cos()(
2
)(
2
2
2
212212
1
2
2
2111
22
2
tB
K
tABKtABK
tA
K
tBKtAKBA
K
tV
o
ωωωωω
ωωω
++++
++++=
(3.16)
Na Fig. 3.11(a) podemos observar o sinal de entrada composto de dois tons.
Já na Fig. 3.11(b) tem-se o sinal após a passagem pelo amplificador, que devido à
sua não linearidade, apresenta uma componente DC e os produtos de intermodulação.
Os produtos de intermodulação surgem pela interação dos sinais de
freqüência diferentes na entrada, por ação do elemento não linear. É conveniente
ressaltar a diferença entre os conceitos de produtos de distorção harmônica e os
produtos de intermodulação.
Em especial, o elemento de comportamento quadrático produz apenas termos
de distorções harmônicas e de intermodulação até a 2
a
ordem.
0 100 200 300 400 500
0
1
2
3
4
5
6
7
8
9
A
Fre
q
üência
Espectro de Entrada
0 100 200 300 400 500
0
0.5
1
1.5
2
2.5
3
A
Fre
q
üência
Produtos de Intermodulação
Figura 3.11(a)
Sinal de 2 tons
Figura 3.11(b)
Sinal Amplificado
Figura 3.11
Sistemas de comportamento quadrático para 2 tons
(Freqüência em HZ e Amplitude em dB)
30
3.7 – C
OMPORTAMENTO CÚBICO
– 1
TOM
Normalmente, os amplificadores possuem comportamento não linear com um
termo cúbico que gera os produtos de intermodulação. Fazendo a análise de um
amplificador com uma portadora, pode-se perceber esses efeitos.
Seja a função de transferência do amplificador representada pela Eq. (3.17),
)()()(
3
310
tVKtVKtV
ii
=
(3.17)
com o sinal de entrada dado por:
)cos()(
1
tAtV
i
ω
=
(3.18)
Substituindo o sinal na função do amplificador, teremos:
3
1311
))cos(()cos()( tAKtAKtV
o
ωω
=
(3.19)
Desenvolvendo a Eq. (3.19), obtemos:
)(cos)cos()(
1
33
311
tAKtAKtV
o
ωω
=
(3.20)
)3cos(
4
)cos(
4
3
)cos()(
1
3
3
1
3
3
11
t
AK
t
AK
tAKtV
o
ωωω
=
(3.21)
Simulando-se esse comportamento da Eq. (3.21) com: 10
1
=K , 3,0
3
=
K ,
2=A
, GHzf 1
1
= , tem-se a curva do amplificador da Fig. 3.12, como sinal de
entrada no domínio do tempo dado na Fig. 3.13 e o sinal após a passagem pelo
amplificador no domínio espectral dado na Fig. 3.14.
31
Figura 3.12
Curva do Amplificador (Potência de entrada em dB por Potência de saída em dB)
Figura 3.13
Sinal de Entrada no domíno do tempo para 1 Tom
(Tempo em segundos porTensão em Volts)
32
Figura 3.14
Sinal após a passagem pelo amplificador: 1 Tom
(Freqüência em GHz por Tensão em Volts)
3.8 – C
OMPORTAMENTO CÚBICO
– 2
TONS
Fazendo a análise de um amplificador com duas portadoras, pode-se perceber
os efeitos da não linearidade. Vamos considerar a função de transferência do
amplificador dado pela Eq. (3.17), com o sinal de entrada na forma:
)cos()cos()(
21
tAtAtV
i
ω
ω
+
=
(3.22)
Substituindo o sinal na função do amplificador, teremos:
))cos()cos(()(
2111
tAtAKtVK
i
ω
ω
+
=
(3.23)
3
213
3
3
))cos()cos(()( tAtAKtVK
i
ωω
+=
(3.24)
A expressão final da saída comparece na Eq. (3.25) com as simulações
mostradas na Fig. 3.15, (a) e (b):
33
)3cos(
4
))2cos((
4
3
))2cos((
4
3
)3cos(
4
))2cos((
4
3
)cos()
4
9
()cos()
4
9
())2cos((
4
3
)(
23
3
123
3
213
3
13
3
123
3
23
3
113
3
1213
3
0
tK
A
tK
A
tK
A
tK
A
tK
A
tK
A
AKtK
A
AKtK
A
tV
ωωω
ωωωωω
ωωωω
+
+
++=
(3.25)
0 100 200 300 400 500
0
1
2
3
4
5
6
7
8
9
A
Fre
q
üência
Espectro de Entrada
0 100 200 300 400 500
0
0.5
1
1.5
2
2.5
3
3.5
4
A
Fre
q
üênci
a
Espectro de Saída
Figura 3.15(a)
Sinal de 2 tons
Figura 3.15(b)
Sinal Amplificado
Figura 3.15
Sistemas de comportamento cúbico para 2 tons
(Freqüência em HZ e Amplitude em dB)
Utilizando-se o simulador
(10)
das Fig. 3.12, 3.13 e 3.14 com: 10
1
=
K ,
3,0
3
=K ,
2
=
A
, GHzf 1
1
= , GHzf 1,1
2
=
, tem-se o sinal de entrada no domínio do
tempo na Fig. 3.16 e o sinal após a passagem pelo amplificador na Fig. 3.17.
Figura 3.16
Sinal de Entrada no Domíno do Tempo para 2 Tons
(Tempo em segundos porTensão em Volts)
34
Figura 3.17
Sinal após a passagem pelo amplificador: 2 Tons
(Freqüência em GHz por Tensão em Volts)
3.9 – C
OMPORTAMENTO CÚBICO
– 3
TONS
Considere a análise real de um sinal de TV com três portadoras, sendo a
função de transferência do amplificador descrita pela Eq. (3.17), e o sinal de entrada
na forma:
)cos()cos()cos()(
321
tAtAtAtV
i
ω
ω
ω
+
+
=
(3.26)
Substituindo o sinal na função do amplificador, teremos abaixo a Eq. (3.27)
Utilizando-se do simulador
(10)
usado anteriormente com: 10
1
=
K , 3,0
3
=K ,
2
=
A
,
GHzf 1
1
= , GHzf 1,1
2
= , GHzf 2,1
3
=
, tem-se o sinal de entrada no domínio do
tempo mostrado na Fig. 3.18 e o sinal após a passagem pelo amplificador
apresentado na Fig. 3.19.
35
() () ()
() ()
() ()
()
() ()
() ()
() ()
() ()
() ()
() ()
tAKtAK
tAKtAK
tAKtAK
tAKtAK
tAKtAK
tAKtAK
tAKtAKAK
tAKtAK
tAKAKtAKAK
tAKtAK
tAKtAKtV
out
+
+++
++++
++
++
+
+
+
++
+
+
+
+
++=
3
3
323
3
3
13
3
332
3
3
321
3
331
3
3
2
3
312
3
3
21
3
31
3
3
13
3
323
3
3
321
3
33
3
31
321
3
312
3
3
2
3
311
3
31
21
3
332
3
3
321
3
331
3
3
3cos
4
1
2cos
4
3
2cos
4
3
2cos
4
3
cos
4
6
2cos
4
3
3cos
4
1
2cos
4
3
2cos
4
3
3cos
4
1
2cos
4
3
2cos
4
3
cos
4
6
cos
4
15
cos
4
6
2cos
4
3
cos
4
15
cos
4
15
2cos
4
3
2cos
4
3
cos
4
6
2cos
4
3
ωωω
ωωωω
ωωωωω
ωωω
ωωω
ωωωω
ωωωω
ωωωωω
ωω
ωωωω
ωωωωω
(3.27)
Figura 3.18
Sinal de Entrada no domíno do tempo para 3 Tons
(Tempo em segundos porTensão em Volts)
36
Figura 3.19
Sinal após a passagem pelo amplificador: 3 Tons
(Freqüência em GHz por Tensão em Volts)
3.10 – L
INEARIZAÇÃO DE
A
MPLIFICADORES DE
P
OTÊNCIA
Os amplificadores de RF usados na transmissão de sinais possuem
comportamento não linear, o que acarreta distorção indesejável no sinal que será
transmitido. A característica de transferência de um sistema é constituída pela curva
de amplitude de saída versus a amplitude de entrada, obtida para uma certa
freqüência fixa escolhida, no meio da faixa de passagem existente tanto para sistemas
lineares como para os não lineares
(17)
(18)
(19)
(20)
(21)
(22)
(23)
.
As técnicas de linearização permitem diminuir os níveis de distorção no sinal
de saída de um amplificador de potência de um sistema de transmissão. As distorções
podem afetar tanto a amplitude quanto a fase do sinal, técnicas que a partir das
curvas AM/AM e AM/PM permitem minimizar o problema da não linearidade. Para
se conseguir tal objetivo, deve-se tornar a amplitude e a fase do sinal a serem
transmitidas as mais lineares possíveis, em relação ao nível de entrada do
amplificador.
A não utilização de qualquer técnica de linearização implica que o
amplificador tem de operar em um nível inferior ao da sua potência nominal em
torno de 5 a 6 dB abaixo. Chamamos essa redução de recuo, ou como referenda a
literatura, de “Back off”.
37
Na tentativa de corrigir essas não linearidades existem algumas técnicas
principais de linearização de dispositivos não lineares, são elas:
Técnica da realimentação negativa, referenciada como “feedback”;
Técnica da alimentação no sentido direto, conhecida por “feedforward”;
Técnica da Pré-Distorção.
Nosso estudo e trabalho foi baseado nesta última pela sua flexibilidade,
facilidade em se projetar e principalmente por ter uma variante que a torna
adaptativa, podendo assim atender aos sinais dos transmissores de TV Digital que
operam em tempo real.Faremos a seguir uma breve explanação desta técnica e as
simulações serão todas feitas com a eficiente plataforma computacional que é o
programa ADS na sua versão premium.
3.10.1 – P
-D
ISTORÇÃO
É uma técnica ideal para sistemas com uma ou multiportadoras, pois tem a
capacidade de linearizar toda a faixa de freqüência de operação do amplificador,
sendo o modelo clássico apresentado na Fig. 3.20.
Pré
Distorção
Amplificador
Entrada
Saída
Figura 3.20
Sistema de Linearização por pré-distorção
É introduzido um bloco antes do estágio de amplificação cuja função de
transferência deve ser tal que elimine a região não linear da função de transferência
do amplificador, ou seja, a característica do bloco de pré-distorção deve ser inversa à
do amplificador, para se obter uma forma linear na saída.
38
O bloco que faz a Pré-Distorção pode ser implementado por um dispositivo
lógico programável (PLD) ou um processador digital de sinais (DSP), pois são
componentes capazes de realizar complexas e rápidas funções com grande precisão.
A Pré-Distorção é um método útil para controlar os efeitos não lineares que
comparecem nos amplificadores de potência em RF. Seu uso se deve em grande
escala a sua fácil implementação, pois consome pouca energia e fornece uma
linearização comparável a métodos mais complexos, como o da alimentação no
sentido direto.
Podemos dividir a técnica de pré-distorção em três tipos:
Pré-Distorção em RF: o elemento que produz a Pré-Distorção opera na
freqüência da portadora;
Pré-Distorção em Freqüência Intermediária (FI): quando utilizamos
uma FI conveniente que permite que a mesma opere para diferentes portadoras;
Pré-Distorção em Banda Básica: normalmente, a chamamos de pré-
distorção de Banda Básica Adaptativa, pois permite que, através de uma tabela,
utilizando-se PLD ou DSP, fazer uma armazenagem dos valores de amplitude e fase
a serem ajustados. Quando a mesma recebe uma realimentação, devemos ter
algoritmos que comparem os valores da tabela e façam as alterações necessárias, para
que possamos corrigir os sinais de amplitude e fase.
As técnicas de Pré-Distorção em RF e FI têm sido mais utilizadas para pré-
distorcer características de 3
a
ordem, pois as mesmas trazem algumas dificuldades na
fabricação de circuitos que façam uma linearização. São muito utilizadas, pois
permitem linearizar toda uma faixa de freqüência de operação, podendo ser utilizadas
para mais de uma portadora.
Os circuitos de Pré-Distorção podem ser de vários tipos, como por exemplo:
Circuitos de Pré-Distorção: quadrático, cúbico ou de outra ordem em
que se deseja fazer a linearização;
Circuitos de Pré-Distorção a diodo: as técnicas que empregam o uso do
diodo são difíceis de ser ajustadas e necessitam que os componentes estejam
perfeitamente casados. A ocorrência contrária acarreta que, ao invés de
linearizarmos, podemos gerar produtos de intermodulação indesejáveis. Os circuitos
de Pré-Distorção a diodo podem ser modelados de 3 formas:
39
Circuito a diodo série
Circuito a diodo varactor
Circuito com diodos em conexão antiparalela
Circuitos baseados em transistores de efeito de campo (FET): em que o
ajuste da tensão de polarização criada pela não linearidade e uma capacitância
variável atuam no ajuste da fase dos produtos de intermodulação;
Circuitos de Pré-Distorção usando harmônicos: que são empregados
principalmente para o cancelamento dos produtos de intermodulação de 3
a
ordem,
utilizando as componentes de 2
a
ordem retiradas do circuito. Estas são filtradas,
ajustadas tanto para ganho e fase, e voltam ao circuito principal. Caso o ganho e a
fase sejam bem ajustados, é gerada uma componente de 3
a
ordem contrária à gerada
pelo amplificador, fazendo-se assim a linearização;
Circuitos de Pré-Distorção por aproximação de função (“curve fitting”):
seu funcionamento também envolve diodos que funcionam como chaves, sendo que
cada um possui um valor específico de tensão para entrar em condução. Podemos
utilizá-lo independentemente da ordem que desejamos cancelar, porém é um circuito
muito complexo para se ajustar;
Controle Adaptativo de Pré-Distorção: depende de como a fase e o
ganho do circuito de Pré-Distorção estejam configurados para alcançarmos e
mantermos níveis ótimos. Isto demanda que saibamos ter um bom controle e um
sistema que se atualize rapidamente.
Como pudemos perceber, as técnicas de Pré-Distorção de RF e FI apresentam
algumas vantagens, tais como: fácil implementação, linearização de uma grande
largura de faixa, entre outras, mas também possui desvantagens como: uma
significante melhora na linearidade, e dificuldade para linearizar circuitos com
ordens superiores à 5
a
.
Sendo assim, a técnica que vem ganhando mais espaço no mercado e
estudada por renomados pesquisadores é a técnica de Pré-Distorção em Banda Base
Adaptativa, pois podemos utilizá-la em um sistema completo de transmissão de TV.
Existem algumas divergências apenas quanto ao modo e ao componente para se fazer
a linearização. Usam-se PLD´s ou DSP´s, dependendo do projeto e da definição do
projetista, pois estes componentes permitem trabalhar com elevadas taxas de
40
freqüências e fazer complexos processamentos matemáticos. Tais dispositivos vêm
sendo imprescindíveis para os sistemas que operam em tempo real, como é o caso
dos sinais de TV
(24)
(25)
(26)
(27)
(28)
(29)
.
Algumas simulações foram feitas com o auxílio do software Advanced
Design System (ADS), tendo, como sinal de entrada, um sinal de dois tons,
visualizado na Fig. 3.21, abaixo ilustrada
(30)
(31)
(32)
(33)
(34)
:
Passando o sinal da Fig. 3.21 por diferentes circuitos de linearização, obtemos
como resultado da simulação as seguintes curvas:
Circuito de Pré-Distorção cúbica na Fig. 3.22;
Circuito de Pré-Distorção digital adaptativa em Banda Básica na Fig.
3.23;
Circuito de Pré-Distorção adaptativa em RF na Fig. 3.24.
Sinal de Entrada
Potência (dBm)
Figura 3.21
Sinal de dois tons
41
849.9M 850.0M 850.1M849.8M 850.2M
-60.00
-50.00
-40.00
-30.00
-20.00
-10.00
0.0000
10.00
-70.00
20.00
freq, Hz
vel do
S
inal
(
dBm
)
Sinais Antes e Após a Linearização
Antes (azul)
e Após (Vermelho)
Figura 3.22
Sinais de um circuito de Pré-Distorção cúbica
42
849.6
849.7
849.8
849.9
850.0
850.1
850.2
850.3
850.4
849.5
850.5
-60
-50
-40
-30
-20
-10
0
10
20
30
40
-70
50
freq, MHz
Potência (dBm)
Sinal após o amplificador de potência
849.6
849.7
849.8
849.9
850.0
850.1
850.2
850.3
850.4
849.5
850.5
-60
-50
-40
-30
-20
-10
0
10
20
30
40
-70
50
freq, MHz
Potência (dBm)
Sinal após a linearização
Figura 3.23
Sinais de um circuito de Pré-Distorção adaptativa em Banda Base
43
849.6
849.7
849.8
849.9
850.0
850.1
850.2
850.3
850.4
849.5
850.5
-60
-50
-40
-30
-20
-10
0
10
20
30
40
-70
50
freq, MHz
Potência (dBm)
Sinal após a passagem pelo Amplificador
849.6
849.7
849.8
849.9
850.0
850.1
850.2
850.3
850.4
849.5
850.5
-60
-50
-40
-30
-20
-10
0
10
20
30
40
-70
50
freq, MHz
Potência (dBm)
Sinal de Saída após a Linearização
Figura 3.24
Sinais de um circuito de Pré-Distorção adaptativa em RF
Podemos visualizar através da Tab. 3.1 a comparação de algumas técnicas de
Pré-Distorção, quanto à complexidade, eficiência, faixa de passagem e desempenho
no cancelamento dos produtos de intermodulação de 3
a
ordem.
44
Tabela 3.1
Comparações entre Sistemas de Linearização
(21)
Tipo Complexidade Eficiência
Faixa de
passagem
Desempenho
no
Cancelamento
Alimentação
direta
“feedforward”
Elevada Moderada Elevada Elevado
Realimentação
Cartesiana
Moderada Elevada Estreita Elevado
Pré-Distorção
De RF
Baixa Elevada Elevada Baixo
Pré-Distorção
Digital
Elevada Moderada Moderada Moderado
Neste capítulo pudemos observar a diferença entre as distorções harmônicas e
os produtos de intermodulação bem como entender como essas distorções são
geradas e atrapalham em muito os sistemas de telecomunicações. Como solução para
o problema, existem várias técnicas adotadas para a linearização dos amplificadores
de potência em RF, no nosso caso em especial foi detalhada a técnica da Pré-
Distorção digital, que a cada dia vem ganhando uma adesão maior dentro do cenário
tecnológico atual. Com está técnica costuma-se chegar a correções da ordem de 15 a
20 dB, com uma eficiência alta e uma grande flexibilidade na sua implementação
(35)
.
45
3.11 – R
EFERÊNCIAS
B
IBLIOGRÁFICAS DO
C
APÍTULO
3
(1)
Carson, R.S., High-Frequency Amplifiers; New York, John Wiley, 1975
(2)
Radmanesh, M. M., Radio frequency and microwave electronics illustrated; New Jersey: Prentice-
Hall, 2001.
(3)
Maas, S.A., Nonlinear Microwave Circuits; IEEE Press, Piscataway, NJ, USA, 1988.
(*)
Bonfim, M., Apostila de Medidas Elétricas; UFPR-DELT
(4)
Marins, C.N.M., Silveira, M., e Maffioletti Jr., M., Análise de dispositivos não lineares em RF para
sistemas FDMA
; International Week of Telecommunication 2002, Santa Rita do Sapucaí, INATEL,
BRAZIL.
(5)
Programa MatLab
®
5.3, The MathWorks Inc.
(6)
Programa Visio 2000, Microsoft
®
(7)
Programa C++ 5.02, Borland
®
(8)
Marins, C.N.M., Silveira, M., e Maffioletti Jr., M., Programa Virtual TEQ; 2002
(9)
Matsumoto, E.Y., MatLab
®
6.5 fundamentos de programação; São Paulo: Editora Érica, 2002.
(10)
Marins, C.N.M., e Araújo, A.L.E., Amplifier Project 7.0 - Freeware; 2004.
('11)
Cavers, J., Optimum Indexing in Predistorting Amplifier Linearizers; IEEE 47
th
Vehicular
Technology Conference, USA, 1997, pp. 676-80.
(12)
Johansson, M., and, Mattson, T., Transmitter Linearization using Cartesian Feedback for Linear
TDMA Modulation
; 41
st
IEEE Transactions on Vehicular Technology Conference, St. Louis, MO,
USA, 1991, pp. 155-160.
(13)
Tupynamba, R., and Camargo, E., MESFET Nonlinearities Applied to Predistortion Linearizer
Design
; IEEE MTT-S Digest, 1992, pp. 955 - 958.
(14)
Lu, K., McIntosh, P. M., Snoden, C. M., and Pollard, R. D., Low-Frequency Dispersion and Its
Influence on the
Intermodulation Performance of AlGaAs/GaAs HBTs, 1996; IEEE MTT-S Int.
Microw. Symp. Dig., pp.1373-6, June 18-22, 1996.
(15)
Tayebi, N. and Kavehrad, M., Laser Nonlinearity Compensation for Radio Subcarrier Multiplexed
Fiber Optic Transmission Systems
; IEICE Transactions on Communications, Vol. E76-B, No. 9,
September 1993, pp. 1103-14.
(16)
Bingol, C., Hein, H., Gamm, E., Oehler, F., Riepe, K., and Blanck, H., Monolithic up conversion
and reference IC for power amplifier linearization using GaAs HBTs
; MTT-S, Jun. 2000.
(17)
Pothecary, N., Feedforward Linear Power Amplifiers; Norwood: Artech House, 1999.
(18)
Cripps, S.C., Advanced Techniques in RF Power Amplifier Design; Artech House, 2002.
(19)
Cripps, S.C., RF Amplifiers for Wireless Communications; Norwood: Artech House, 1999.
(20)
Clarke, K.K., Hess, D.T., Communication Circuits: Analysis and Design; Reading: Addison-
Wesley, 1978.
(21)
Vuolevi, J., Rahkonen, T., Distortion in RF Power Amplifiers; Norwood: Artech House, 2003.
(22)
Vuolevi, J., Analysis, measurement and cancellation of the bandwidth and amplitude dependence
of intermodulation distortion in RF power amplifiers
; Department of Electrical Engineering,
University of Oulo, 2001.
(23)
Kenington, P.B., High-Linearity RF Amplifier Design; Artech House, Norwood, MA, USA, 2000.
(24)
Cavers, J. K., Optimum Table Spacing in Predistortion Amplifier Linearizers; IEEE Trans.
Vehicular Techn., Vol. 48, No. 5, pp. 1699-1705, Sep. 1999.
(25)
Adreani, P. and Sundstrom, L., Chip for wideband digital predistortion RF power amplifier
linearization
; Electronics Letters, Vol. 33, No. 11, pp. 925-926, May. 1997.
(26)
Wright, A. S. and Durtler, W. G., Experimental Performance of an Adaptive Digital Linearized
Power
Amplifier; IEEE Trans. Vehicular Techn., Vol. 41, No. 4, pp. 395-400, Nov. 1992.
(27)
Watkins, B.E., and North, X., Predistortion of nonlinear amplifiers using neural networks; IEE-
MTT, 1995.
(28)
Rey, C.G., Clark, E., Linearization Performance for a Polar Work Function Predistorter; IEE-
MTT, 1998.
(29)
Bosh, W., Gatti, G., Measurement and simulation of memory effects in predistortion linearizers,
IEE-MTT, 1989.
(30)
Advanced Design System Manuals, Using Online Documentation in the User's Guide.
(31)
Programa Advanced Design System 2002C and 2003A, Agilent technologies
(32)
Millman, J., and Halkias, C.O., Electronic fundamentals and applications: for engineergs and
scientists
; New York, McGraw-Hill, 1976.
(33)
Massara, R.E., Optimization Methods in Telectronic Circuit Design; Longman, August, 1991.
46
(34)
Boylestad, R.L., and Nashelsky, L., Electronic devices and circuit theory; 7
th
Ed., New Jersey,
Prentice-Hall, 1999.
(35)
Altera
®
, AN 314: Digital Predistortion Reference Design
47
C
APÍTULO
4
MÉTODOS NUMÉRICOS
Neste capítulo serão apresentados os métodos numéricos conhecidos como
correlação e regressão linear, que estabelecem relações somente entre duas variáveis.
Quando existe mais de duas variáveis, o método numérico é conhecido como
correlação e regressão múltipla.
4.1 – F
UNDAMENTOS TEÓRICOS DA
C
ORRELAÇÃO
Freqüentemente, investiga-se a existência de relação entre duas ou mais
variáveis. A verificação da existência e do grau de relação entre variáveis é objeto de
estudo da correlação, cujo objetivo é medir e avaliar o grau de relação existente entre
duas variáveis aleatórias.
A correlação linear visa medir a relação entre as variáveis
x
e
y
através da
disposição dos pontos
),( yx
em torno de uma reta, sendo utilizado para medida o
coeficiente de correlação
(1)
(2)
(3)
.
De forma geral, podemos dizer que entre duas variáveis existe correlação
quando uma delas está relacionada com a outra.
Sejam
x
e
y
as duas variáveis em questão, um diagrama de dispersão mostra
a localização dos pontos
),( yx
em um sistema de coordenadas retangulares.
Analisando a Fig. 4.1, se todos os pontos tendem a cair nas proximidades de uma
reta, a correlação é denominada linear; caso os pontos tendam a estar próximos de
alguma curva, a correlação é denominada não linear.
Para valores crescentes de
y
, quando
x
cresce, ou para valores decrescentes
de
y
, quando
x
decresce, diz-se que a correlação é linear positiva.
Para valores decrescentes de
y
, quando
x
cresce, ou para valores crescentes
de
y
, quando
x
decresce, diz-se que a correlação é linear negativa.
A correlação linear perfeita ocorre quando os pontos
x
e
y
estão
perfeitamente alinhados. A correlação é nula quando não há relação entre as
48
variáveis
x
e
y
, ou seja, quando as variações ocorrem independentemente, não
existindo correlação entre elas
(4)
(5)
.
Figura 4.1
Diagramas de dispersão entre x e y
A Fig. 4.1 traz uma descrição pictórica de todos os casos clássicos de
correlação citados anteriormente
(6)
.
Neste trabalho utilizaremos o coeficiente de correlação linear |
r
| também
chamado de correlação de Pearson. A Eq. (4.1), abaixo ilustra esse cálculo:
∑∑
==
=
=
n
i
n
i
ii
n
i
ii
yyxx
yyxx
r
11
22
1
(4.1)
em que:
y
x
y
x
x
y
xx
yy
yyy
Correlação Positiva Forte Correlação PositivaPerfeita Correlação Positiva
Correlação Negativa Forte Correlação NegativaPerfeita Correlação Negativa
xxx
Não Existe Correlação Correlação Não Linear
49
n
: representa o número de pares
),( yx
x
: a média dos valores de
x
y
: a média dos valores de
y
A equação acima também pode ser escrita da seguinte forma:
yyxx
xy
SS
S
r =
(4.2)
em que:
S
xy
é a covariância de
x
,
y
:
=
∑∑
===
n
i
i
n
i
n
i
iiixy
yx
n
yxS
111
1
(4.3)
S
xx
é o desvio padrão de
x
:
2
11
2
1
=
==
n
i
i
n
i
ixx
x
n
xS
(4.4)
S
yy
é o desvio padrão de y :
2
11
2
1
=
==
n
i
i
n
i
iyy
y
n
yS
(4.5)
O coeficiente de correlação revela-nos um valor entre –1 e +1 e esse valor
representa um nível de correlação que está ilustrado na Tab. 4.1.
Tabela 4.1
Valores para a correlação
Valor Correlação
0=r
Nula
3,00 < r
Fraca
6,03,0 < r
Média
9,06,0 < r
Forte
19,0 << r
Fortíssima
1=r
Perfeita
Quanto mais próximo
r
estiver do zero, concluímos que não há correlação
linear significativa entre
x
e
y
e, quanto mais próximo
r
estiver de
1±
, podemos
50
concluir que existe uma correlação linear significativa entre
x
e
y
. De forma geral,
r
satisfaz: 1r
(7)
(8)
(9)
.
4.2 – A
JUSTE DE
C
URVAS
A existência de uma relação entre duas ou mais variáveis é expressa,
matematicamente através de uma equação analítica envolvendo estas.
Primeiramente, tem-se que obter os n pares das variáveis
),(,),........,(),,(),,(
332211 nn
yxyxyxyx
. Após a coleta dos dados, traça-se o diagrama
de dispersão, que plota o gráfico dos n pares das variáveis. Através do diagrama de
dispersão mostrado na Fig. 4.1 pode-se visualizar uma curva que se aproxima dos
dados, podendo ser linear ou não linear, positiva ou negativa, entre outras
informações que podem ser tiradas desse gráfico
(10)
(11)
.
O próprio diagrama de dispersão sugere o tipo de curva de ajuste, podendo
ser dos tipos abaixo listados:
xaay
10
+=
Equação Linear (4.6)
2
210
xaxaay ++=
Equação Quadrática (4.7)
3
3
2
210
xaxaxaay +++=
Equação Cúbica (4.8)
n
n
xaxaxaxaay +++++= .....
3
3
2
210
Equação de ordem n (4.9)
4.2.1 – O M
ÉTODO DOS
M
ÍNIMOS
Q
UADRADOS
Para se obter a melhor aproximação linear que se adapte ao conjunto de dados
é necessário estabelecer uma definição, considerando os pontos na forma:
),(,),........,(),,(),,(
332211 nn
yxyxyxyx . Sendo o dado
1
x , podemos notar uma
diferença entre
1
y e o valor que foi ajustado
1
y . Chamamos esta diferença de
1
E ,
podendo ser chamada de desvio, erro ou resíduo. Este procedimento é feito com
todos os outros valores de
x
,
nj ....3,2
=
,obtendo-se para cada índice j , o
respectivo desvio .O conceito é dado pela soma dos quadrados dos desvios; isto é:
“aproximação linear ótima”.
51
22
3
2
2
2
1
.............
n
EEEE ++++
(4.10)
Figura 4.2
Curva de ajuste da interpolação linear
O valor do somatório na Eq. (4.10) deve ser minimizado, para que possamos
ter um bom ajuste da aproximação linear que proporciona o ajuste ótimo como sendo
aquele em que a soma dos quadrados dos resíduos é a menor possível, isto é:
mínimoEEEE
n
=++++
22
3
2
2
2
1
.............
(4.11)
A curva ajustada, Fig. 4.2, pode ser chamada curva de regressão dos mínimos
quadrados, curva de mínimos quadrados ou simplesmente curva de regressão.
É comum aplicar esta definição, escolhendo
x
, como a variável
independente, e
y
sendo a variável dependente. Contudo, o método permite que
possamos inverter os eixos, ou seja, a variável
y
passa a ser a variável independente
e
x
a variável dependente. Neste caso, as distâncias são medidas na horizontal,
passando a ter-se uma outra curva de mínimos quadrados
(12)
(13)
.
E1
E2
E3
E4
En
x
y
52
4.2.2 – R
ETA DOS
M
ÍNIMOS
Q
UADRADOS
Como mostrada acima, a reta dos mínimos quadrados que melhor ajusta os
pontos ),(,),........,(),,(),,(
332211 nn
yxyxyxyx tem como equação:
bxay
+
=
(4.12)
As constantes a e
b
são determinadas, resolvendo-se o sistema de equações
normais apresentado na Eq. (4.13):
==
+=
n
i
i
n
i
i
xbany
11
∑∑
===
+=
n
i
i
i
n
i
iii
xbxayx
1
2
11
(4.13)
Sendo que estamos procurando uma equação da reta dos mínimos quadrados
apresentada na Eq. (4.13), os valores de y dessa reta correspondentes a
n
xxxxx ,........,,,
321
= são
n
bxabxabxabxa
+
+
+
+
.,,.........,,
321
, enquanto os valores
reais são
n
yyyy ,,.........,,
321
respectivamente. Assim a reta de mínimos quadrados é
tal, que
22
33
2
22
2
11
)(........)()()(
nn
ybxaybxaybxaybxaS ++++++++=
(4.14)
tem valor mínimo.
De acordo com o cálculo infinitesimal, uma condição necessária para que S
assuma um mínimo é que as derivadas parciais de S em relação a a e b se anulem.
Então:
0)}(......)()(){(2
332211
=++++++++=
nn
ybxaybxaybxaybxa
a
S
(4.15)
53
0})(
......)()(){(2
333222111
=++
+++++++=
nnn
xybxa
xybxaxybxaxybxa
b
S
(4.16)
O sistema de equações, Eq. (4.15) (4.16) fornece as equações normais
desejadas que estão apresentadas na Eq. (4.13)
(14)
(15)
(16)
.
Os valores de a e b podem ser obtidos da Eq. (4.13) e são dados por:
∑∑
∑∑
==
== ==
=
n
i
n
i
ii
n
i
n
i
n
i
n
i
iiiii
xxn
yxxxy
a
1
2
1
2
11 11
2
(4.17)
∑∑
∑∑
==
===
=
n
i
n
i
ii
n
i
n
i
n
i
iiii
xxn
yxyxn
b
1
2
1
2
111
(4.18)
A variável
b
também pode ser escrita da forma :
=
=
=
n
i
i
n
i
ii
xx
yyxx
b
1
2
1
)(
))((
(4.19)
Usualmente, o traço superior representa o valor médio, ou seja,
nxx
n
i
i
/
1
=
=
. Se dividirmos por
n
ambos os membros da primeira Eq. (4.13),
teremos:
+= xbay
(4.20)
54
Para determinarmos o valor de
b
podemos utilizar a Eq. (4.18), ou então a
Eq. (4.19) e então usar a Eq. (4.20) para determinar
= xbya
, podendo escrever-se
a reta de mínimo quadrados como:
)(
= xxbyy
(4.21)
)(
)(
))((
1
2
1
=
=
=
xx
xx
yyxx
yy
n
i
i
n
i
ii
(4.22)
O coeficiente da reta, Eq. (4.22), conhecido como
b
, é a constante
fundamental para se determinar a reta. Da Eq. (4.22) observa-se também que a reta
de mínimos quadrados passa pelo ponto central ),(
yx
.
O coeficiente angular
b
da reta de regressão é independente da origem de
coordenadas. Isto significa que, se fizermos a translação de eixos dada por:
hxx +=
´,
kyy += ´
, o coeficiente
b
também será dado pela Eq. (4.23), sendo que
h
e
k
são constantes quaisquer;
2
1
1
11
22
111
´)´(
´)´(´)´(
´)(´
´´´´
=
=
==
===
=
=
∑∑
xx
yyxx
xxn
yxyxn
b
n
i
i
i
n
i
i
n
i
n
i
ii
n
i
i
n
i
i
n
i
ii
(4.23)
Substituindo as variáveis
x
e
y
por ´
x
e
´y
, dizemos que
b
é um
coeficiente invariante sob a operação de translação. Note-se que
a
depende
efetivamente da origem e sendo assim, não é invariante.
Para o caso particular em que
=
xh
e
= yk
, pode-se simplificar a Eq.
(4.23) para a forma:
55
2
1
1
´
´´
=
=
=
n
i
i
i
n
i
i
x
yx
b
(4.24)
Os resultados obtidos com a Eq. (4.23) e a Eq. (4.24) são úteis para
simplificar o trabalho de cálculo na determinação da reta dos mínimos quadrados.
As observações feitas acima valem também para a reta de regressão de
x
sobre
y
. Formalmente, os resultados se obtêm simplesmente trocando
x
e
y
.
Assim, por exemplo, a reta de mínimos quadrados de
x
sobre
y
é :
)(
)(
))((
1
2
1
=
=
=
yy
yy
yyxx
xx
n
i
i
n
i
ii
(4.25)
É bom salientar que, em geral, a Eq. (4.25) não é igual à Eq. (4.22).
4.2.3 – A R
ETA DOS
M
ÍNIMOS
Q
UADRADOS EM
T
ERMOS DA
V
ARIÂNCIA E
C
OVARIÂNCIA
A
MOSTRAIS
As variâncias e covariâncias amostrais de
x
e
y
são dadas pelas Eq.(4.3),
Eq. (4.4) e Eq. (4.5), mas também podem ser escritas da seguinte forma:
n
xx
S
n
i
i
xx
2
1
)(
=
=
(4.26)
n
yy
S
n
i
i
yy
2
1
)(
=
=
(4.27)
n
yyxx
S
i
n
i
i
xy
=
=
))((
1
(4.28)
56
Em função dessas expressões Eq. (4.26), Eq. (4.27) e Eq. (4.28) podemos
reescrever as retas de regressão de mínimos quadrados de
y
sobre
x
e de
x
sobre
y
, respectivamente, como:
)(
= xx
S
S
yy
xx
xy
(4.29)
)(
=
yy
S
S
xx
yy
xy
(4.30)
4.3 – R
EGRESSÃO
A regressão nasce da tentativa de se relacionar um conjunto de observações
de certas variáveis
x
com as leituras de uma certa grandeza
y
. Conforme a Eq.
(4.12), em que
a
e
b
são os parâmetros da relação linear procurada, o objetivo pode
ser:
explicativo
: demonstrar uma relação matemática que pode indicar, mas
não prova, uma relação
causa/efeito
;
preditivo
: obter uma relação que nos permita, perante futuras
observações das variáveis
k
x
, prever o valor correspondente de
y
, sem a
necessidade de que seja feito qualquer medida.
Um dos principais objetivos do ajuste da curva é estimar a variável
dependente em função da variável independente. A literatura referencia este processo
de aproximação designando-o como uma
regressão
. Se
y
deve ser estimado em
função de
x
, por meio de uma equação, esta é denominada
equação de regressão
de
y
sobre
x
e a curva correspondente é conhecida como a curva de regressão ou reta
de mínimos quadrados.
Caso seja conveniente, estimar o valor de
x
em função de
y
, deve ser
usada a curva de regressão de
x
para
y
, alternando-se as variáveis dependente e
independente. Isso equivale a substituir na definição da curva dos mínimos
quadrados os desvios verticais pelos desvios horizontais, e, em geral, a curva de
regressão de
y
para
x
não é igual à curva de regressão de
x
para
y
.
57
4.4 – Q
UANTIFICAÇÃO DO ERRO DA REGRESSÃO LINEAR
A expressão analítica linear envolvendo um erro relativo é dada por:
Ebxay
+
+
=
,
(4.31)
em que :
a
É o intercepto
y
, para
x
=
E
= 0
b
É o coeficiente angular
E
É o erro, também conhecido como resíduo
Desta forma temos que:
bxayE
=
(4.32)
O erro representa a discrepância entre o valor verdadeiro de
y
e o valor
predito
y
dado por
bxa +
. Neste caso, podemos adotar como melhor estratégia
aquela de determinar a reta que minimiza a soma dos resíduos,
r
S
:
==
==
n
i
ii
n
i
ir
bxayES
1
2
1
2
)(
(4.33)
Para podermos calcular o erro apresentado pelo modelo necessitamos
calcular a soma total dos quadrados
t
S
, ou seja, a soma em relação à média da
variável dependente
i
y
, que pode ser expressa por :
=
=
n
i
it
yyS
1
2
)(
(4.34)
Depois de realizarmos a regressão linear poderemos calcular
r
S
, Eq. (4.33).
A diferença entre a Eq. (4.34) e a Eq. (4.33) quantifica o erro devido à aplicação de
58
uma regressão linear. Esta diferença pode ser normalizada de modo a calcular o erro
total produzido, gerando os termos:
t
rt
S
SS
r
=
2
(4.35)
no qual
2
r
é o coeficiente de determinação.
O valor da variação de
y
que é explicitado pela reta de regressão, permite
que
2
r
possa também ser escrito da seguinte forma:
TotalDesvio
ExplicadoDesvio
r =
2
(4.36)
em que:
Desvio Total
é a soma das distâncias verticais entre
y
e
y
, ou seja,
yy
; a distância entre o ponto
y
e a média amostral
y
.
Desvio Explicado
é a soma das distâncias verticais entre
y
e
y
, ou seja,
yy
; a distância entre o valor predito
y
e a média amostral
y
.
Desvio Não Explicado
é a soma das distâncias verticais entre
y
e
y
, ou
seja,
yy
; a distância entre o ponto
y
e o valor predito
y
. Essa distância também é
chamada de resíduo.
59
4.5 – R
EFERÊNCIAS
B
IBLIOGRÁFICAS DO
C
APÍTULO
4
(1)
Kreyszig, E.,
Advanced Engineering Mathematics
; John Wiley & Sons, 8
th
Ed., December, 1998.
(2)
Spiegel, M., R.,
Manual de Fórmulas e Tabelas Matemáticas,
McGraw-Hill do Brasil, São Paulo,
1974.
(3)
Spiegel, M.R.,
Estatística
; 2
ª
ed., McGraw-Hill, São Paulo, 1985.
(4)
Triola, M.F.,
Introdução a Estatística
;
E
ditora LTC.
(5)
Joe, D.,
Numerical Methods for Engineers and Scientists
; Hoffman Marcel Dekker; 2
nd
Ed.
(6)
Singiresu, S.R.,
Applied Numerical Methods for Engineers and Scientists
; Prentice Hall,1
st
Ed,
January 15, 2002.
(7)
Chapra, S.C. and Canale, R.P.,
Numerical Methods for Engineers: With Software and Programming
Applications
; McGraw-Hill, 4
th
Ed, July 16, 2001.
(8)
Sadiku, M.N.O.,
Numerical Techniques in eletromagnetics
; CRC Press LCC, 2
nd
Ed, 2001.
(9)
Garcia, A.L.,
Probability and random processes for electrical engineering
; 2
nd
Ed, Addison-
Wesley, New York, 1994.
(10)
Davenport, J.R., Wilbur, B.,
Probability and random processes
; McGraw-Hill, New York, 1970.
(11)
Bendat, J., and Piersol, A.G
., Engineering Applications of Correlation and Spectral Analysis
;.
John Willey, New York, 1980.
(12)
Mirshawka, V
., Exercícios de probabilidade e estatística para engenharia
; 35
ª
.Ed,. São Paulo,
1987
(13)
Costa, S.F.,
Introdução Ilustrada a Estatística
;. 2
ª
.Ed,. São Paulo, Harbra, 1992.
(14)
Meyer, P.L.,
Probabilidade, aplicações à estatística
;. Tradução de Ruy de C. B. L.F., 2
ª
.Ed,. Rio
de Janeiro: LTC, 2000.
(15)
Clarke, A.B., and Disney, R.L
., Probability and random process for engineers and scientists
; New
York, John Willey, 1970.
(16)
Erup, L. and Harris, R.A.,
On Numerical Optimization of Communications System Design
; IEEE
Journal on Selected Areas in Communications, vol. 6, no. 1, January 1988, pp. 106 - 125.
60
C
APÍTULO
5
DESENVOLVIMENTO DE UM PROTÓTIPO PARA LINEARIZAÇÃO DE
AMPLIFICADORES
5.1 – I
NTRODUÇÃO
Neste capítulo estaremos objetivando o desenvolvimento de um protótipo
para a linearização de amplificadores de potência com recursos da Pré-Distorção
Digital, cuja função é gerar uma distorção contrária a dos amplificadores e
proporcionar uma resposta final bem mais linear, sendo sua principal aplicação para
transmissores de
TV Digital
. É do nosso interesse abordar a Pré-Distorção
Adaptativa, uma vez que teremos de comparar o sinal de entrada e o sinal que foi
transmitido com distorção.
Este é um projeto de grande interesse, uma vez que permite a linearização
independentemente do padrão de
TV Digital
que venha a ser adotado, pois os
sistemas são muito sensíveis às distorções não lineares, havendo a necessidade de
circuitos para a linearização, cuja finalidade é aumentar o rendimento de potência e
reduzir os custos dos amplificadores
(1)
(2)
(3)
(4)
(5)
.
Antes de partirmos para a montagem do protótipo foram realizados estudos
sobre a digitalização de um sinal, bem como a reconstrução analógica do mesmo. Por
ser esta uma teoria clássica e conhecida na atual literatura, e de fundamental
importância para implementação em hardware do protótipo que este trabalho
pretende apresentar transladamos os principais conceitos para o Apêndice 1.
5.2 – D
ESCRIÇÃO E MONTAGEM DO PROTÓTIPO
Como visto anteriormente, temos alguns problemas na transmissão de sinais
de vídeo digital, pois os sistemas de transmissão são muito sensíveis às distorções
não lineares. Como há a necessidade do uso de amplificadores de potência e estes,
apresentam dispositivos não lineares, existe a grande preocupação em se minimizar
ou até mesmo acabar com esses problemas, principalmente os produtos de
intermodulação
(6)
(7)
(8)
(9)
.
61
A título de ilustração, a Fig. 5.1 abaixo testa a eficiência de um amplificador
classe
AB
de 28 W excitado por um tom na freqüência de 430
MHz
, que apresenta as
seguintes curvas:
-20 -10 0 10 20
23
28
33
38
43
48
53
Poncia de Entrada (dBm)
Potência de Saída (dBm)
Ideal
Real
-10 -8 -6 -4 -2 0 2
0
2
4
6
8
Potência de Entrada (dBm)
Fase(°)
Figura 5.1(a)
Medida AM/AM
Figura 5.1(b)
Medida AM/PM
Figura 5.1
Curvas Características (28W@430 MHz)
5.2.1 – H
ARDWARE EM MALHA ABERTA
O nosso primeiro protótipo construído era originado de um circuito de malha
aberta, como mostra a Fig. 5.2 abaixo ilustrada:
LUT
Video In
Video Out
AD
Endereços Dados
DA
PC
Figura 5.2
Diagrama em malha aberta
Os módulos que compõe o projeto são:
Conversor
A/D
com oscilador de 10 bits;
Conversor
A/D
sem oscilador de 10 bits;
62
Conversor
D/A
de 10 bits;
Módulo de Lógica Programável (
MLP
);
Cabo e Circuito Byte Blaster.
O modelamento acima determinou o início do projeto onde o tratamento do
sinal na
LUT
era monitorado via
CI
interfaceando a saída
RS232
do PC, utilizando
uma memória e sem realimentação.
Uma primeira proposta de um sistema em malha aberta que foi implementado
em hardware, Fig. 5.3, trabalha com uma memória em que o primeiro passo é gravar
os dados em todas as
2
n
posições, sendo que tais dados fazem com que a função de
transferência seja a desejada. Após a gravação dos dados, a memória permanece em
condição de leitura e então cada amostra do sinal de vídeo na entrada do
AD
é
digitalizada, endereçando à memória, que terá no seu barramento de dados o dado
gravado na posição determinada pelo barramento de endereços. Notando que se o
dado gravado em um endereço for o próprio endereço, todo dado presente na saída
do
AD
aparecerá na entrada do
DA
e logo a função de transferência será unitária. O
nosso principal problema consiste em saber quais serão os dados a serem gravados na
memória, para se conseguir a função de transferência desejada.
Figura 5.3
Hardware em malha aberta
Todo este controle foi feito através do software
PROJETO.C
, que está no
Anexo 1, ele nos permite a manipulação
bit
a
bit
de uma palavra código, bem como
alterar qualquer conteúdo dos 1024 endereços, com esse controle manual podemos
63
verificar no analisador de vídeo
TEK
VM-700T o comportamento das curvas
AM/AM
e
AM/PM
. Assim sendo, foi constatado que um aprimoramento do modelo poderá ser
conseguido aperfeiçoando este primeiro protótipo juntamente com o software, o que
nos motivou a trabalhar com o circuito em malha fechada, ou seja, realimentado.
5.2.2 – H
ARDWARE EM MALHA
F
ECHADA
Devido à necessidade de trabalho com hardware em malha fechada, partimos
para estudos mais detalhados do hardware e do software a fim de se conseguir a
melhor linearização possível.
A Fig. 5.1(a) tem em sua região linear a Eq. (5.1) mostrada abaixo:
38
+
=
inout
PP
(
dBm
)
(5.1)
Já a região não linear, através de uma aproximação numérica, permite que
possamos determinar a equação que representa seu comportamento. Considerando
cinco pontos da curva de compressão, Fig. 5.1(a), temos a Tab. 5.1.
Tabela 5.1
Dados da curva
P
in
(
dBm
) 6 7 8 9 10
P
out
(
dBm
) 43.5 44.2 44.8 45.3 45.6
Usando o método dos mínimos quadrados, como artifício matemático,
obtemos a Eq. (5.2), abaixo:
)(4543.365586,1104286,6
2
2
dBmPPP
ininout
++×=
(5.2)
Com base na Eq. (5.2) descrita acima, é possível desenvolver um algoritmo
que faça a correção da parte não linear, aproximando-a de um segmento de reta, este
sendo descrito pela Eq. (5.3). Esta equação somada à Eq. (5.2), permite cancelar o
termo de segunda ordem e ajusta os demais termos, resultando na Eq. (5.3) onde
teríamos o sinal
AM/AM
já corrigido
(10)
(11)
(12)
(13)
.
64
)(5457.15586,0104286,6
2
2
dBmPPP
ininout
+×=
(5.3)
O próximo passo seria corrigir a curva
AM/PM
. Sabe-se que o
comportamento ideal da variação de fase deve ser constante. Pode-se notar que
quanto maior o nível de potência na entrada do amplificador mais crítica se torna a
variação de fase, que visualizamos através da Fig.5.1(b). Com o auxílio do software
MatLab
®
,
levando-se em consideração 13 pontos da curva, obtemos como resultado a
Eq. (5.4), que caracteriza a variação de fase
Φ:
Φ
=
a
0
+
a
1
P
in
+
a
2
P
in
2
+
a
3
P
in
3
+
a
4
P
in
4
+
a
5
P
in
5
+
a
6
P
in
6
+
a
7
P
in
7
+
a
8
P
in
8
+
a
9
P
in
9
+
a
10
P
in
10
+
a
11
P
in
11
+
a
12
P
in
12
[ º ]
(5.4)
Como o principal objetivo é tornar a variação de fase constante temos que
cancelar todos os termos que dependam de
P
in
, resultando que
Φ
=
a
0
. Para tal soma-
se uma equação de mesma ordem com valor de
a
0
= 0 e todos os outros termos
a
i
de
1
i
12, com seus sinais invertidos. Com este raciocínio poderíamos implementar
um algoritmo, mas o nosso problema não é tão simples assim, pois temos que
considerar uma série de fatores que influenciam negativamente como a Temperatura
entre outros. Assim partimos para o desenvolvimento de um sistema não só em
malha fechada, porém, adaptativo, em que os coeficientes da Eq. (5.4) são:
a
0
=
3.20000000000000
a
1
=
-0.21937987079352
a
2
=
0.82018462720767
a
3
=
0.30225519225027
a
4
=
0.86025167843734
a
5
=
0.33857284813452
a
6
=
-0.05432465470970
a
7
=
0.07713550769736
a
8
=
-0.02533213186095
a
9
=
-0.00429170800680
a
10
=
0.00040981775463
a
11
=
0.00002095383390
a
12
=
-0.0000004470966
65
Para o desenvolvimento de um sistema adaptativo, visualizado na Fig. 5.4(a)
na sua primeira versão, da esquerda para direita temos o conversor
DA
, a placa da
LUT
, os dois conversores
AD
, a placa Byte Blaster e uma placa que concentra as
fontes de alimentação. A Fig. 5.4(b), apresenta a versão atual, onde temos um
módulo que acomoda um conversor
DA
e um
AD
, sendo que a placa mostrada é a do
conversor
DA
, e temos também a placa da
LUT
. Neste estágio já temos o circuito em
malha fechada com o
“feedback”
implementado.
Para que a linearização possa ser atingida deve-se levar em consideração
alguns aspectos; como a freqüência de operação, a temperatura, entre outros, pois
sabemos que esses parâmetros não são constantes no tempo; daí a necessidade de um
sistema digital adaptativo
(14)
(15)
(16)
(17)
.
Como necessitamos de um controle rápido e sistemas que operem em tempo
real, buscamos substituir a memória por um
PLD
, que será usado como nossa
LUT
.
Figura 5.4(a)
Primeira versão do hardware implementado
66
Figura 5.4(b)
Versão atual do hardware implementado
LO
DA
Modulador
LUT HPA
Demodulador
AD
AD
Sinal de
Entrada
Figura 5.5(a)
Diagrama em blocos do Sistema Completo
Retardo
DUT
L U T
Algoritmo de
Atualização
CORRELATOR
Algoritmo
de Ajuste
AD DA
AD
Figura 5.5(b)
Diagrama em blocos detalhado
67
O sinal de vídeo analógico é transformado em digital, que segue para
LUT
e
para o circuito de retardo, objetivando estabelecer a melhor relação entre as
amostras, ou seja, o melhor sincronismo. Neste ponto, o algoritmo de ajuste compara
as amostras do correlator e o algoritmo de atualização (
up-date-algorithm
) fará a
atualização na
LUT
.
Explicando dessa forma parece ser fácil, mas temos muitos parâmetros para
ajustar e ainda precisamos ter certeza de estar comparando as amostras no mesmo
instante de tempo, conforme os diagramas vistos nas Fig. 5.5(a) e (b).
No Capítulo 4, mostramos os métodos de correlação e regressão linear e
faremos uma aplicação desses métodos em nosso projeto. Foi desenvolvido um
programa em
C
++
, e o algoritmo utilizado é apresentado no Anexo 2 que será usado
para controlar todo o sistema em conjunto com o software apresentado no Anexo 1.
Esta nossa idéia inicial pode comprovar uma grande eficácia na linearização e
correção da amplitude, embora já tenhamos constatado que quando entramos com
um sinal digital
I
e
Q
, é possível corrigir tanto a amplitude quanto a fase, e este
sistema implementado encontra-se em desenvolvimento constante para aprimorá-lo
na melhor forma possível.
O nosso
MLP
, placa do
PLD
, é apresentado na Fig. 5.6, logo abaixo:
Figura 5.6
Módulo de Lógica Programável
68
A princípio o controle é feito via software
C
++
, mas com o avanço do projeto
um dos próximos passos é fazer este controle com um
DSP
ou com um
Microcontrolador, colocando-o na mesma placa, inclusive os conversores
AD
e
DA
.
A Tab. 5.2 abaixo ilustra a nossa entrada de dados, a saída após o
amplificador e logo na seqüência, a Tab. 5.3 apresenta os dados corrigidos pelo
nosso método. Sabemos que não teremos 100% de correção, mas certamente
corrigimos muito. O projeto continua em pleno desenvolvimento e fará parte
integrante do módulo do sistema transmissor de
TV Digital
.
O método utilizado para chegarmos aos valores de correção, como dito
anteriormente, será mostrado abaixo, pelas equações características com as quais
calculamos os valores corrigidos que foram apresentados na Tab. 5.3.
Tabela 5.2
Entrada de Dados (x) e Saída de dados(y) após o amplificador
x
40 41 42 43 44 45 46 47 48
y
43 45 30 47 50 38 42 45 43
x
49 50 51 52 53 54 55 56 57
y
50 47 49 54 56 57 59 60 56
Tabela 5.3
Sinal corrigido
y ideal
40 41 42 43 44 45 46 47 48
x up-date
41.4 42.2 43.1 43.9 44.7 45.6 46.4 47.3 48.1
y ideal
49 50 51 52 53 54 55 56 57
x up-date
49.0 49.8 50.7 51.5 52.4 53.2 54.0 55.0 55.8
Primeiramente, calculamos o coeficiente de correlação linear através da Eq.
(4.1), com
n =
18,
5.48
_
=
x e 39.48
_
=
y
, apresentando o seguinte valor :
69
805.0
28.10465.484
5.573
)39.48()5.48(
)39.48)(5.48(
)()(
))((
18
1
18
1
22
18
1
11
22
1
=
=
=
=
∑∑
∑∑
==
=
==
=
r
yx
yx
r
yyxx
yyxx
r
ii
ii
i
ii
n
i
n
i
ii
n
i
ii
Podemos perceber que o valor calculado do coeficiente de correlação linear,
de acordo com a Tab. 4.1 é forte. Partimos agora para o cálculo de
a
e b, em que
utilizamos as Equações abaixo descritas:
=
=
=
n
i
i
n
i
ii
xx
yyxx
a
1
2
1
)(
))((
xayb
=
Substituindo os valores, chegaremos ao seguinte resultado:
1837.1
5.484
5.573
)5.48(
|)39.48)(5.48(|
18
1
2
18
1
==
=
=
=
aa
x
yx
a
i
i
i
ii
02.9
5.48)1937.1(39.48
=
=
=
b
b
xayb
70
A etapa final desta aproximação numérica pode ser alcançada fazendo a
função linear inversa:
(
)
[]
abyx /
= , com a finalidade fundamental de se obter um
efeito reverso para a distorção. Isto permitirá acumular novos valores na LUT e,
conseqüentemente, impor um controle perfeito da pré-distorção. O efeito provocado
pelo algoritmo de atualização no sistema da pré-distorção pode ser bem visualizado
na Fig. 5.7, e seus valores vistos na Tab. 5.3. Verificamos, ainda, como esse método
simples apresentado no Capítulo 4 ao ser implementado provoca uma grande
correção ao sistema utilizando o programa apresentado no Anexo 2.
Abaixo mostraremos as medidas feitas com o VM700 da Tektronix, tendo
como sinal de entrada o sinal de vídeo rampa modulado, Fig. 5.8. Para checar como o
processo realmente funciona mostramos na Fig. 5.9(a) as medidas ideais, na Fig.
5.9(b) as medidas sem pré-distorção e na Fig. 5.9(c) as medidas com pré-distorção,
sendo as escalas das Figuras 5.9 idênticas àquela apresentada na Fig. 3.3.
Figura 5.7
Gráfico com os sinais: Ideal, Distorcido e Corrigido.
40
42
44 46 48 50 52
54
56
30
35
40
45
50
55
60
A
mplitude da Potência de Entrada
(dBm)
Amplitude da Potência de Saída (dBm)
Sinal Distorcido
Sinal Ideal
Sinal Corrigido
71
Figura 5.8
sinal de vídeo rampa modulada
Figura 5.9(a)
Medidas ideais
Figura 5.9(b)
Medidas sem pré-distorção
72
Figura 5.9(c)
Medidas com pré-distorção
Após o início do trabalho que se deu com sinais analógicos, passamos a
utilizar sinais totalmente digitais. Com este propósito, na programação da LUT
utilizando o software MatLab
®
vamos criar um vetor de distorção que represente o
modelamento do PA (Amplificador de Potência). Foram realizados vários testes para
a geração deste vetor, dado que o termo não linear quadrático pode ser anulado por
um filtro na implementação, vamos adotar:
3
21
xKxK para representar o nosso
modelo. O software utilizado está descrito abaixo:
% PROGRAMA DE MODELAMENTO DO AMPLIFICADOR DE POTÊNCIA
clear all
close all
% Geraçao do sinal de estimulo de 2 tons 2MHz e 4 MHZ
t=1:1023;
x=cos(2*pi*1/8*t)+cos(2*pi*1/4*t);
min(x);
x=x+abs(min(x))+1;
max(x);
x=x*1023/max(x);
x=round(x);
% Geraçao do vetor distorçao comportamento nao linear do
amplificador
k1=1;
k2=4*10^-6;
t1=600;
for t2=1:t1
y(t2)=k1*t2 - k2*t2.^3;
73
end
y=y(1,1:380);
y=interp(y,3);
y=y(1,1:1023);
y=y*1023/max(y);
y=round(y);
% Geraçao do sinal na saida do amplificador (sinal distorcido)
t3=1:1023;
z(t3)=y(x(t3));
Com a programação apresentada acima é possível fazer com que o MLP
opere como um amplificador de potência, que pode ser visualizado no osciloscópio,
Fig. 5.10(a), que está em escala de 500 mV por 0,5
µ
s. Além disso, através do próprio
software MatLab
®
, que apresenta a curva vista na Fig. 5.10(b), o resultado previsto
foi obtido com sucesso ao inserirmos o sinal de estímulo composto por 2 tons (2 e 4
MHz) distorcidos pelo PA.
Figura 5.10(a)
Medida da curva do amplificador no osciloscópio
74
Figura 5.10(b)
Medida da curva do amplificador gerada pelo software
No analisador de espectro temos o sinal de dois tons antes do amplificador e
após a sua passagem pelo amplificador que foi simulado e implementado conforme
as Fig. 5.10(a) e (b), e será exposto respectivamente nas Fig. 5.11(a) e (b).
Figura 5.11(a)
Sinal de dois tons antes de passar pelo amplificador
75
Figura 5.11(b)
Sinal após a passagem pelo amplificador
Ainda fazendo o uso de um outro MLP que faça a função do bloco de Pré-
Distorção, conseguimos ilustrar a consistência do método, tanto com o auxílio do
MatLab
®
, como das medidas feitas com os instrumentos Analisador de Espectro (HP
E4401B) e o Osciloscópio (Agilent 54615B) no MLP. A Fig. 5.12 nos mostra um
sinal de entrada (dois tons), logo em seguida a curva característica do amplificador.
Na seqüência, temos o sinal de entrada após sua passagem pelo amplificador e,
finalizando, temos novamente o sinal de entrada, utilizando os métodos da correção e
da regressão linear expostos anteriormente. A Fig. 5.12 refere-se ao sinal no domínio
do tempo e a Fig. 5.13 ilustra a mesma função, porém no domínio da freqüência,
utilizando para tal o MatLab
®
. Para tal, a programação apresentada está relatada logo
abaixo:
% Software para a linearização
clear all
close all
% Geraçao do sinal de estimulo de 2 tons 2MHz e 4 MHZ
t=1:1023;
x=cos(2*pi*1/8*t)+cos(2*pi*1/4*t);
min(x);
x=x+abs(min(x))+1;
max(x);
x=x*1023/max(x);
x=round(x);
76
% Geraçao do vetor distorçao comportamento nao linear do
amplificador
k1=1;
k2=4*10^-6;
t1=600;
for t2=1:t1
y(t2)=k1*t2 - k2*t2.^3;
end
y=y(1,1:380);
y=interp(y,3);
y=y(1,1:1023);
y=y*1023/max(y);
y=round(y);
% Geraçao do sinal na saida do amplificador (sinal distorcido)
t3=1:1023;
z(t3)=y(x(t3));
% Calculo da correlacao entre x e z
num_alphaR=sum(abs((x-mean(x)).*(z-mean(z))));
den_alphaR=sqrtm((sum((x-mean(x)).^2)).*(sum((z-mean(z)).^2)));
alphaR=num_alphaR/den_alphaR;
% Calculo dos coeficientes da reta de ajuste
a=num_alphaR/sum((x-mean(x)).^2);
b=mean(z)-a*mean(x);
% Calculo da equacao de ajuste
z_ideal=x;
x_update=(z_ideal-b)/a;
x_update=round(x_update); % x_update sera o novo sinal de
entrada para o amplificador
% Plota as figuras para analise grafica no dominio do tempo
figure(1);
subplot(2,2,1);
plot(x);
subplot(2,2,2);
plot(y);
subplot(2,2,3);
plot(z);
subplot(2,2,4);
plot(x_update);
% Plota as figuras para analise grafica no dominio da frequencia
figure(2);
subplot(2,2,1);
plot(abs(fft(x)));
subplot(2,2,2);
plot(y);
subplot(2,2,3);
plot(abs(fft(z)));
subplot(2,2,4);
plot(abs(fft(x_update)));
77
Sinal de Entrada Sinal do Amplificador
Sinal Distorcido Sinal corrigido
Endereço da LUT Endereço da LUT
Endereço da LUT
Endereço da LUT
Amplitude do Dado
Amplitude do Dado
Amplitude do Dado
Amplitude do Dado
Figura 5.12
Figuras do MatLab
®
no domínio do tempo
Na Fig. 5.14 temos o sinal de entrada do circuito, ou seja, um sinal de dois
tons visto no domínio do tempo através do osciloscópio com a escala de 500 mV por
0,5
µ
s, já a Fig. 5.15 apresenta o sinal de entrada após a sua passagem pelo
amplificador de potência também em escala de 500 mV por 0,5
µ
s.
Podemos notar diferenças entre os sinais, sendo estas devidas a não
linearidade do amplificador de potência. Além disso, podemos ver as duas formas de
ondas juntas na Fig. 5.16 que está em escala de 500 mV por 0,2
µ
s, onde também se
visualiza a distorção causada pelo amplificador.
78
Sinal de Entrada
Sinal Distorcido
Sinal do Amplificador
Sinal corrigido
Endereço da LUT Endereço da LUT
Endereço da LUT
Endereço da LUT
Frequência (Hz)
Frequência (Hz)
Frequência (Hz)
Amplitude do Dado
Figura 5.13
Figuras do MatLab
®
no domínio da freqüência.
Figura 5.14
Medida do osciloscópio da onda de entrada.
79
Figura 5.15
Medida do osciloscópio da onda de entrada após a amplificação.
Figura 5.16
As duas formas de onda juntas.
80
5.2.3 –
H
ARDWARE EM MALHA
F
ECHADA
U
SANDO O
C
HIP
ISL5239
Vários estudos e pesquisas nos levaram a considerar e utilizar o componente
ISL5239 da Intersil
(18)
(19)
, um linearizador do tipo Pré-Distorção, que deve ser
programado conforme o uso que se deseja fazer, adaptando os softwares feitos para
essa nova configuração de sistema. A Fig. 5.17 apresenta a nova disposição em que a
FPGA 1 será programada com o sinal de estímulo, enquanto que a FPGA 2 será
responsável pela amplificação do sinal e pela captura do sinal de feedback. A
conexão com o PC se faz necessária para que possamos programar o ISL5239 com
os métodos que apresentamos no Capítulo 4.
Figura 5.17
Nova Disposição utilizando o ISL5239
O algoritmo logo abaixo de Pré-Distorção implementado consiste em
dividirmos o sinal capturado na entrada (sem distorção) com o sinal do feedback
intermodulado em 16 janelas de 128 amostras cada. Para cada janela, calculamos a
correlação entre os sinais que serão os coeficientes da regressão. A Fig. 5.18
apresenta o circuito com a presença do ISL5239.
% ALGORITMO DE PRÉ-DISTORÇÃO UTILIZANDO-SE DO ISL5239
close all;
clear all;
capture_input % Captura do sinal de entrada
81
x_first=zeros(1,2048);
x_first=xi;
x_first=x_first+abs(min(x_first))+1;
x_first= x_first*2048/max(x_first);
x_first=round(x_first);
capture_feedback % Captura do sinal de feedback
x=fb;
x=interp(x,2);
min(x);
x=x+abs(min(x))+1;
x=x+1;
max(x);
x= x*2048/max(x);
x=round(x);
k1=1;
k2=5*10^-6;
t1=600;
for t2=1:t1
y(t2)=k1*t2 - k2*t2.^3;
end
y=y(1,1:380);
y=interp(y,6);
y=y(1,1:2048);
y=y*2048/max(y);
y=round(y);
t3=1:2048;
z(t3)=y(x(t3));
% Algoritmo de Predistorcao
x_updatenew=zeros(1,2048);
x_updatenew=x_first;
aux12=0;
aux13=1;
for aux12=1:aux13
x=x_updatenew;
Njanela=16;
janelalengh=2048/Njanela;
aux1=0;
aux2=0;
% Calcula os valores de alphaR para as 16 janelas de 128 amostras
for aux1=1:Njanela
num_alphaR(aux1)=sum(abs((x(1,aux2+1:janelalengh)-
mean(x(1,aux2+1:janelalengh))).*(z(1,aux2+1:janelalengh)-
mean(z(1,aux2+1:janelalengh)))));
den_alphaR(aux1)=sqrtm((sum((x(1,aux2+1:janelalengh)-
mean(x(1,aux2+1:janelalengh))).^2)).*(sum((z(1,aux2+1:janelalengh)-
mean(z(1,aux2+1:janelalengh))).^2)));
alphaR(aux1)=num_alphaR(aux1)/den_alphaR(aux1);
aux2=janelalengh;
janelalengh=janelalengh+128;
end
janelalengh2=2048/Njanela;
aux3=0;
aux4=0;
% Calcula os valores de a e b para as 16 janelas de 128 amostras
for aux4=1:Njanela
a(aux4)=num_alphaR(aux4)/sum((x(1,aux3+1:janelalengh2)-
mean(x(1,aux3+1:janelalengh2))).^2);
b(aux4)=mean(z(1,aux3+1:janelalengh2))-
a(aux4).*mean(x(1,aux3+1:janelalengh2));
82
aux3=janelalengh2;
janelalengh2=janelalengh2+128;
end
% Calcula os novos valores de x_update para 16 janelas de 128
amostras
z_ideal=x_first;
aux5=0;
aux6=1;
aux7=0;
x_update=zeros(16,128);
for aux7=1:Njanela
aux5=aux5+1;
for aux8=1:128
x_update(aux5,aux8)=(z_ideal(1,aux6)-(b(aux7))/a(aux7));
aux6=aux6+1;
end
end
aux9=1;
aux10=128;
for aux11=1:16
x_updatenew(1,aux9:aux10)=x_update(aux11,1:128);
aux9=aux9+128;
aux10=aux10+128;
end
x_updatenew=x_updatenew+abs(min(x_updatenew))+1;
x_updatenew=x_updatenew*2048/max(x_updatenew);
x_updatenew=round(x_updatenew);
end
% Plota as figuras para analise grafica no dominio do tempo
figure(1);
plot(x_first);
figure(2);
plot(z);
figure(3);
plot(x_updatenew);
% Plota as figuras para analise grafica no dominio da frequencia
figure(4);
semilogy(abs(fft(x_first)));
figure(7);
plot(abs(fft(x_first)));
figure(5);
semilogy(abs(fft(z)));
figure(8);
plot(abs(fft(z)));
figure(6);
semilogy(abs(fft(x_updatenew)));
figure(9);
plot(abs(fft(x_updatenew)));
Com o software acima descrito utilizando a implementação da Fig. 5.18
vamos obter a Fig. 5.19(a) que representa o sinal de entrada no domínio do tempo. A
Fig. 5.19(b) mostra a FFT do sinal de entrada enquanto que a Fig. 5.19(c) traz o sinal
de entrada em escala logarítmica. As Figuras 5.20(a), (b) e (c) apresentam os sinais
correspondentes que estão distorcidos após a passagem pelo amplificador.
83
Concluímos esta sessão com as Figuras 5.21(a), (b) e (c) que mostram os respectivos
sinais corrigidos.
Figura 5.18
Implementação utilizando o ISL5239
Tempo em milisegundos
Tesão em milivolts
Figura 5.19(a)
Sinal de entrada no domínio do tempo
84
Endereço da LUT
Frequência em (Hz)
Figura 5.19(b)
FFT do sinal de entrada
Endereço da LUT
Frequência em (Hz)
Figura 5.19(c)
Sinal de entrada em escala logarítmica
85
Tesão em milivolts
Tempo em milisegundos
Figura 5.20(a)
Sinal distorcido pelo amplificador no domínio do tempo
Endereço da LUT
Frequência em (Hz)
Figura 5.20(b)
FFT do sinal distorcido pelo amplificador
86
Endereço da LUT
Frequência em (Hz)
Figura 5.20(c)
Sinal distorcido pelo amplificador em escala logarítmica
Tempo em milisegundos
Tesão em milivolts
Figura 5.21(a)
Sinal corrigido no domínio do tempo
87
Endereço da LUT
Frequência em (Hz)
Figura 5.21(b)
FFT do sinal corrigido
Endereço da LUT
Frequência em (Hz)
Figura 5.21(c)
Sinal corrigido em escala logarítmica
88
Este capítulo apresentou todo o processo que foi desenvolvido com a criação
do MLP, que suporta o emprego de métodos numéricos para a linearização de
amplificadores de potência em RF com os recursos da Pré-Distorção Digital. Embora
isto encerre a parte experimental deste nosso estudo, o trabalho de bancada e as
pesquisas continuam para cada vez mais aperfeiçoar esta ferramenta criada.
89
5.5 – R
EFERÊNCIAS
B
IBLIOGRÁFICAS DO
C
APÍTULO 5
(1)
Mello, A.A., Rodrigues, H.D., Lima, J.S, Silva, M.P.S., Silveira, M.,
A New Numerical Approach in
the Linear Analysis of RF Amplifiers
; IEEE, MTT-S 2003 33
rd
European Microwave Conference,
Munich, GE.
(2)
Mello, A.A., Rodrigues, H.D., Lima, J.S, Silva, M.P.S., Silveira, M.,
Adaptive Digital Pre-
distortion To Reduce the Power Amplifier Nonlinearity
; IEEE APS-URSI 2003, Columbus, Ohio,
USA.
(3)
Mello, A.A., Rodrigues, H.D., Lima, J.S., Silveira, M., Pereira, W.N., Ribeiro, J.A.J.,
Transmitter
Linearization Using Digital Pre-distortion
; IEEE APS-URSI 2002, San Antonio, Texas, USA.
(4)
Mello, A.A. , Rodrigues, H.D., Lima, J.S., Silva, M.P.S., Silveira, M., Pereira, W.N.,
O uso da
técnica de pré-distorção digital na linearização de amplificadores de potência em RF
; International
Week of Telecommunication 2002, Santa Rita do Sapucaí,
INATEL
, BRAZIL.
(5)
Souza, L.J.,
Linearization of TV Transmitters with
IF Predistortion
; Telecommunication Journal,
INATEL, BRASIL, 1998.
(6)
Jeckeln, E., Ghannouchi, F., and Sawan, M.,
An L Band Adaptive Predistorter for Power Amplifiers
Using Direct I
-
Q Modem
; IEEE Microwave Theory and Techniques Symposium Digest, Baltimore,
MD, USA, 1998, pp. 719-722.
(7)
Yi, J., et al.,
Analog Pre-distortion Linearizer for
High Power RF Amplifiers
; IEEE-MTTS, V. 48,
No. 12, 2000, pp. 2709-2713.
(8)
Saleh, A.,
Frequency-Independent and Frequency-Dependent Nonlinear Models of TWT
Amplifiers
; IEEE Transactions on Communications, Vol. COM-29, No. 11, November 1981, pp.
1715-20.
(9)
Voyce, K. and McCandless, J.,
Power Amplifier
Linearization Using IF Feedback
;
IEEE MTT-S
Digest, USA, 1989, pp. 863 - 866.
(10)
Chan, P.K.,
Digital Design Using Field Programmable Gate Arrays
; New Jersey, Prentice-Hall,
1994.
(11)
Faulkner, M. and Johansson, M.,
Adaptive
Linearization Using Predistortion Experimental
Results
; IEEE Transactions on Vehicular Technology, Vol. 43, No. 2, May 94, pp. 323-332.
(12)
Mansell, A. and Bateman, A.,
Practical Implementation Issues for Adaptive Pre-distortion
Transmitter Linearization
; IEE, London, UK WC2R 0BL, 1994, pp. 5.1-5.7.
(13)
Heutmaker, M.,
The Error Vector and Power
Amplifier Distortion
; Wireless Communication
Conference, Denver, CO, USA, 1997, pp. 100-104.
(14)
Mingo, J. and Valdovinos, A.,
Amplifier Linearization Using a New Digital Pre-distorter for
Digital Mobile Radio Systems
; IEEE 47
th
Vehicular Technology Conference, Vol. 2, USA, 1997, pp.
671-675.
(15)
Walden, R. H.,
Performance Trends for Analog-
to-
Digital Converters
; IEEE Comm. Mag
.
, Feb.
1999, pp. 96- 101.
(16)
Schurack, E., Rupp, W., Latzel, T., and Gottwald, A.,
Analysis and Measurement of Nonlinear
Effects in Power
Amplifiers Caused by Thermal Power Feedback;
Proc. of IEEE Int. Symp. Circuits
and Systems, Vol. 2, pp. 758-761, 1992.
(17)
Han, J.H., Chung, T., and Nam, S.,
Adaptive Predistortion for Power Amplifier Based on Real-
Time Estimation of Envelope Transfer Characteristics
; Electronics Letters, Vol. 35, No. 25, Dec. 9,
1999.
(18)
Datasheet ISL5239
; Intersil.
(19)
Application Notes: an1022, an1023, an1024, an1025 and an1028
; Intersil
90
C
APÍTULO
6
CONSIDERAÇÕES FINAIS
6.1 – C
ONCLUSÃO E
C
ONTRIBUIÇÕES DO TRABALHO
A não linearidade dos dispositivos para certas aplicações é indesejada. Isto
ocorre quando necessitamos transmitir sinais de TV Digital, pois estes provocam
distorções harmônicas quando utilizamos uma portadora, e os produtos de
intermodulação quando utilizamos mais de uma portadora. Esses efeitos indesejáveis
aos sistemas de telecomunicações têm motivado grandes esforços em inúmeros
centros de pesquisa no estudo da linearização de amplificadores de potência.
Esta dissertação se propôs apresentar alguns métodos matemáticos simples,
porém com uma proposta para linearização de sinais em tempo real. A dissertação
abre novos horizontes de perspectivas nesta direção de pesquisa, conhecimento este
que é aprimorado a cada dia que passa, elevando o nosso nível de aprendizagem e
melhorando a eficiência do sistema.
O hardware implementado além de ter um excelente desempenho possui a
vantagem de não ser tão dispendioso, pois os componentes e softwares que
utilizamos são comuns com exceção do software ADS. Esta é uma ferramenta
extraordinária de grande valia em todas simulações e cuja grande eficácia nós
pudemos comprovar no estudo, projeto e modelamento de diversos modelos
eletrônicos com características não lineares.
Neste trabalho, foi feito um estudo meticuloso da linearização com métodos
numéricos utilizando a Pré-Distorção Digital, comprovando a sua eficiência. Foi
implementado um Módulo de Lógica Programável (MLP) cuja função principal é a
sua utilização para a linearização de amplificadores de RF. A criação deste MLP nos
proporcionou grande aprendizagem, tanto teórica como prática, pois projetamos,
desenvolvemos, montamos e realizamos os testes experimentais.
Dada a sua grande facilidade de uso e programação, a Empresa Linear
Equipamentos Eletrônicos S.A. em parceria com o Instituto Nacional de
Telecomunicações – INATEL estão utilizando este módulo em várias frentes do
projeto do primeiro transmissor de sinais para TV Digital, do qual o nosso trabalho
91
terá um papel fundamental na linearização do sistema e com certeza despertará e
contribuirá para o desenvolvimento de tecnologia e mão de obra totalmente nacional,
contribuindo para o progresso do nosso país.
Este projeto já obteve resultados concretos através de medidas nos
laboratórios de desenvolvimento da empresa Linear Equipamentos Eletrônicos S.A. e
uma boa aceitação em congressos internacionais do IEEE. Agora se farão necessários
novos avanços nas pesquisas, principalmente no que diz respeito ao modelo
numérico que é de extrema importância para se obter sucesso e atingir novas metas
dando uma sequência evolutiva do trabalho.
A parte tecnológica de montagem, implementação e medidas do modelo
desenvolvido foi realizada em um setor voltado para a pesquisa, especialmente
preparado pelo Diretor de desenvolvimento da empresa Linear que compõe a nossa
equipe de trabalho. Todos os módulos eletrônicos necessários para o
desenvolvimento do projeto foram adquiridos com o inicio do projeto.
Acreditamos realmente que este projeto possa render mais publicações em
congressos e periódicos relacionados com esta área de pesquisa, pois todos os sub-
sistemas eletrônicos necessários ao desenvolvimento dos equipamentos de
transmissão, tanto no segmento analógico como no segmento digital, conversores
AD, conversores DA, PLDs, instrumentos eletrônicos de alta tecnologia utilizados
para efetuar as medidas e caracterizar os módulos que compõem os conjuntos de
processamento dos sinais analógicos e digitais envolvidos, tais como: Analisadores
de Espectro, Geradores de Sinais Complexos em RF, Medidores de Potência,
Analisadores de Modulação, Analisadores de Rede Escalares e Vetoriais, dentre
outros foram essenciais para o modelamento matemático e a respectiva concepção de
algoritmos, implementando-os em computador e simulando numericamente o
funcionamento de circuitos eletrônicos. A análise foi feita tanto no comportamento
com aproximação linear como no refinamento de modelos não lineares mais
precisos. Os resultados obtidos apresentaram uma razoável concordância com a
presente literatura permitindo que a pesquisa neste campo se prolongue por um
período maior.
92
O trabalho poderá ser prosseguido e como sugestão apresentamos como
sendo as próximas etapas:
Projetar, montar e testar novos conversores A/D e D/A para 12, 14, 16
bits e ainda fazer um estudo meticuloso para saber qual deles responderá
melhor no sistema completo;
Integralizar todos os dispositivos em uma única placa de circuito
impresso;
Prover estudos com outro linearizador, pois o que foi utilizado atende
perfeitamente às nossas necessidades, porém para uma produção em série
necessita de uma máquina especial para fazer a soldagem, sendo esta
muito dispendiosa; daí, a necessidade de se estudar e continuar as
pesquisas com outros circuitos de diferentes fabricantes;
No atual momento, temos interesse em introduzir a teoria do simplex
para vetorizar simultaneamente os ganhos AM/AM e AM/PM. Este tipo de
abordagem permitirá usar como método numérico a otimização de
operadores lineares com as técnicas de programação linear. A alteração da
linguagem de programação na interface permitirá uma maior flexibilidade
na escolha da arquitetura eletrônica FPGA, o que pode otimizar os custos
do protótipo na implementação industrial;
Consolidar esta pesquisa aplicada canalizando os esforços de colaboração
entre as Instituições de Pesquisa e o Setor Empresarial de modo que
passamos a nos envolver com problemas reais que demandem soluções
voltadas diretamente para a implementação de novas tecnologias.
6.2 – T
RABALHOS
P
UBLICADOS
R
ELACIONADOS À
D
ISSERTAÇÃO
[1] Silva, M.P.S., Silveira, M., Mello, A.A., Rodrigues, H.D., e Lima, J.S., Uma
Eficiente Abordagem Numérica para a Linearização de Amplificadores de Potência;
Revista Eletrônica do IEEE América Latina – Section 09 – Junho 2004
http://ewh.ieee.org/reg/9/etrans/
.
93
[2] Silva, M.P.S., Silveira, M., Mello, A.A., Pina, F.G., Ribeiro, L.S., and Lima, J.S.,
Adaptive Digital Pre-Distortion using the FPGA Electronic Architecture; to be
presented – IEEE IEE ISAP 2004 International Symposium on Antennas and
Propagation, Sendai, Japan.
[3] Silva, M.P.S., Silveira, M., Mello, A.A., Pina, F.G., Ribeiro, L.S., and Lima, J.S.,
Adaptive Linearization Digital Signals I and Q; presented – IEEE APS-URSI
2004, Monterrey, CA, USA.
[4] Silva, M.P.S., Silveira, M., Veloso, M.C., and Lima, J.S., An Efficient Analysis of
the Performance of Nonlinear Devices using as a tool the Software ADS; IEEE
WCETE 2004 Word Congress on Engineering and Technology Education,
Guarujá, Brazil.
[5] Silva, M.P.S., Silveira, M., Mello, A.A., Rodrigues, H.D., and Lima, J.S., A New
Numerical Approach in the Linear Analysis of RF Amplifiers, IEEE, MTT-S
2003, 33
rd
European Microwave Conference, Munich, GE.
[6] Silva, M.P.S., Silveira, M., Mello, A.A., Rodrigues, H.D., and Lima, J.S.,
Adaptive Digital Pre-Distortion to reduce the Power Amplifier Nonlinearity,
IEEE APS-URSI 2003, Columbus, Ohio, USA.
[7] Silva, M.P.S., Silveira, M., Mello, A.A., Rodrigues, H.D., Lima, J.S. e Pereira,
W.N., O uso da técnica de Pré-Distorção Digital na Linearização de
Amplificadores de Potência em RF, International Week of Telecommunication
2002, Santa Rita do Sapucaí, INATEL, BRAZIL.
[8] Mello, A.A., Rodrigues, H.D., Lima, J.S., Silveira, M., Pereira, W.N., and
Ribeiro, J.A.J., Transmitter Linearization Using Digital Pre-Distortion, IEEE
APS-URSI 2002, San Antonio, Texas, USA.
94
6.3 – T
RABALHOS
N
O
P
RELO
R
ELACIONADOS À
D
ISSERTAÇÃO
[9] Silva, M.P.S., Silveira, M., Ribeiro, L.S. and Lima, J.S., Adaptive Digital
Predistortion for HDTV Systems; a ser submetido – IEEE Vehicular Technology
Journal.
6.4 – T
RABALHOS
P
UBLICADOS
N
ÃO
R
ELACIONADOS À
D
ISSERTAÇÃO
[10] Silva, M.P.S., Silveira, M., Leal, E.S., Silveira, D.D. Palma, D.P., Pereira, W.N.,
Silveira, A.C., Mendes, L.L. e Marins, C.N.M. Desenvolvimento, Simulação e
Medidas em um filtro helicoidal na faixa de VHF, CBMag 2002 – 5
o
Congresso
Brasileiro de Eletromagnetismo, Gramado, RS, Brasil
.
6.5 – T
RABALHOS
S
UBMETIDOS
N
ÃO
R
ELACIONADOS À
D
ISSERTAÇÃO
[11] Silva, M.P.S., Silveira, M., Marins, C.N.M., Veloso, M.C. and Coura, D.J.C.,
ALC – Automatic Level Control; a ser submetido – IEEE GCETE´2005 Global
Congress on Engineering and Technology Education, Bertioga, Brasil.
[12] Silva, M.P.S., Silveira, M., Leal, E.S., Silveira, D.D. Palma, D.P. and Pereira,
W.N., Development, Simulation and Measurements on a VHF Helical Filter,
submetido – Revista Telecomunicações – INATEL, MG, Brasil.
95
A
NEXOS
ANEXO 1
P
ROGRAMA PROJETO
.
C
/* Programa para gravar dados e navegar por 1024 endereços de uma Memória de 10 bits*/
/* MANUAL DE INSTRUCOES:
Esc -> Sai
F5 -> Grava arquivo
F8 -> Carrega arquivo
F9 -> Inicializa matriz
F12 -> Grava no CI
PgUp, PgDown, Up, Down, Left, Right - Navega pela memória
Tab -> Alterna entre as duas colunas
Enter, 1 -> Seta o valor 1
Del, 0 -> Seta o valor 0
+ -> Soma +1 ao valor do dado
- -> Subtrai -1 do valor do dado
*/
#include <conio.h>
#include <stdio.h>
#include <math.h>
#define ESC 27
#define PGUP 73
#define PGDOWN 81
#define UP 72
#define DOWN 80
#define LEFT 75
#define RIGHT 77
#define TAB 9
#define ENTER 13
#define DELETE 83
#define F5 63
#define F8 66
#define F9 67
#define F12 134
#define ONE 49
#define ZERO 48
#define MAIS 43
#define MENOS 45
void Escrevetela(unsigned int key);
void Inimatriz(void);
int Inttobin(int inteiro, int i);
void Posicionacursor(int key);
void Abrearquivo();
void Gravaarquivo();
void GravaCI();
void Paralela(unsigned char par);
void Bits5addr(unsigned char par, unsigned int word);
void Bits5data(unsigned char par, unsigned int po);
unsigned int addr, data[1024][10];
/* addr e onde estará guardado o endereço somente para fins de
impressão na tela ; data e onde estará guardado a tabela de dados da memória -> data[posição][bit] */
unsigned int pos, bit;
/* pos -> indica a posição que aponTa um endereço na memória; bit -> indica qual o
bit desse endereço */
unsigned int dataref[1024][10];
FILE *stream;
struct bitsdata
{
unsigned int d0: 1;
unsigned int d1: 1;
unsigned int d2: 1;
unsigned int d3: 1;
unsigned int d4: 1;
unsigned int d5: 1;
unsigned int d6: 1;
unsigned int d7: 1;
};
union wordparallel
96
{
struct bitsdata bits;
unsigned int out: 8;
}dado;
struct bitscontrol
{
unsigned int c0: 1;
unsigned int c1: 1;
unsigned int c2: 1;
unsigned int c3: 1;
};
union wordcontrol
{
struct bitscontrol bits;
unsigned int out: 4;
}control;
void Escrevetela(unsigned int key)
{
int flag, l, i;
if (key == 0)
/* Inicialização da tela */
{
addr = 0;
flag = 0;
pos = 0; bit = 9;
textcolor(WHITE);
textbackground(BLUE);
clrscr();
printf("Addr D9 D8 D7 D6 D5 D4 D3 D2 D1 D0 Addr D9 D8 D7 D6 D5 D4 D3
D2 D1 D0");
for (l=2; l<=24; l++)
{
if (flag == 0) gotoxy(1,l);
if (flag == 1) gotoxy(42,l);
printf("%4d",addr);
for (i=9; i>=0; i--)
{
if (flag == 0)
gotoxy(7+(9-i)*3, l);
else
gotoxy(48+(9-i)*3, l);
if (data[addr][i] != dataref[addr][i])
{
textcolor(RED);
textbackground(CYAN);
cprintf("%d ", data[addr][i]);
textcolor(WHITE);
textbackground(BLUE);
}
else
printf("%d ", data[addr][i]);
}
addr++;
if (flag == 1 & l == 24) break;
if (flag == 0 & l == 24) { flag=1; l=1; }
}
gotoxy(7,2);
}
if (key == 81)
/* Page down */
{
if (addr <= 1012)
{
flag = 0;
pos = addr; bit = 9;
clrscr();
printf("Addr D9 D8 D7 D6 D5 D4 D3 D2 D1 D0 Addr D9 D8 D7 D6 D5
D4 D3 D2 D1 D0");
for (l=2; l<=24; l++)
{
if (flag == 0) gotoxy(1,l);
if (flag == 1) gotoxy(42,l);
if (addr < 1024)
{
97
printf("%4d",addr);
for (i=9; i>=0; i--)
{
if (flag == 0)
gotoxy(7+(9-i)*3, l);
else
gotoxy(48+(9-i)*3, l);
if (data[addr][i] != dataref[addr][i])
{
textcolor(RED);
textbackground(CYAN);
cprintf("%d ", data[addr][i]);
textcolor(WHITE);
textbackground(BLUE);
}
else
printf("%d ", data[addr][i]);
}
}
else
break;
addr++;
if (flag == 1 & l == 24) break;
if (flag == 0 & l == 24) { flag=1; l=1; }
}
gotoxy(7,2);
}
}
if (key == 73)
/* Page Up */
{
if (addr >= 92)
{
flag = 0;
if (addr != 1024) addr = addr - 92;
else addr = addr - 58;
pos = addr; bit = 9;
clrscr();
printf("Addr D9 D8 D7 D6 D5 D4 D3 D2 D1 D0 Addr D9 D8 D7 D6 D5
D4 D3 D2 D1 D0");
for (l=2; l<=24; l++)
{
if (flag == 0) gotoxy(1,l);
if (flag == 1) gotoxy(42,l);
if (addr < 1024)
{
printf("%4d",addr);
for (i=9; i>=0; i--)
{
if (flag == 0)
gotoxy(7+(9-i)*3, l);
else
gotoxy(48+(9-i)*3, l);
if (data[addr][i] != dataref[addr][i])
{
textcolor(RED);
textbackground(CYAN);
cprintf("%d ", data[addr][i]);
textcolor(WHITE);
textbackground(BLUE);
}
else
printf("%d ", data[addr][i]);
}
}
else
break;
addr++;
if (flag == 1 & l == 24) break;
if (flag == 0 & l == 24) { flag=1; l=1; }
}
gotoxy(7,2);
}
}
98
}
void Inimatriz(void)
{
int j, b;
for(j=0; j < 1024; j++)
for (b=0; b<=9; b++)
{
data[j][b] = Inttobin(j, b);
dataref[j][b] = Inttobin(j, b);
}
}
int Inttobin(int inteiro, int i)
{
int temp, j;
int binario[10];
for (j=0; j <= 9; j++)
{
temp = inteiro / 2;
if (temp * 2 == inteiro) binario[j] = 0;
else binario[j] = 1;
inteiro = temp;
}
return binario[i];
}
/* Função para navegar com o cursor através dos endereços e já modificando os valores de pos e bit */
void Posicionacursor(int key)
{
int temp;
temp = pos+46;
/* somei 46 porque no do...while ele executa os comandos pela primeira vez antes de
verificar a condição e o a pos for 0 ela vai pra -46 o que não pode acontecer */
do
{
temp -= 46;
}while(temp > 45);
if (key == RIGHT)
/* Right */
if (temp >=0 && temp <= 22 && bit != 0)
/* esta no bloco da direita e não esta no
ultimo bit(D0) */
{
bit -= 1;
gotoxy (7+(9-bit)*3, temp+2);
}
else if (temp >= 0 && temp <= 22 && bit == 0 && pos < 1012)
/* esta no
bloco da direita esta no ultimo bit(D0) e não esta na ultima pagina( que vai de 1012 a 1023 */
{
gotoxy (48, temp+2);
pos += 23;
bit = 9;
}
else if (temp >= 23 && temp <= 45 && bit != 0)
/* condição para o cursor se
locomover normalmente no segundo bloco sem estar no ultimo bit da direita (D0) */
{
bit -= 1;
gotoxy (48+(9-bit)*3, temp-21);
}
if (key == LEFT)
/* Left */
{
if (temp >= 0 && temp <= 22 && bit != 9)
{
bit += 1;
gotoxy (7+(9-bit)*3, temp+2);
}
else if (temp >= 23 && temp <= 45 && bit !=9)
{
bit += 1;
gotoxy (48+(9-bit)*3, temp-21);
}
else if (temp >= 23 && temp <= 45 && bit == 9)
{
bit = 0;
pos -= 23;
gotoxy (34, temp-21);
99
}
}
if (key == DOWN)
/* Down */
{
if (temp >= 0 && temp < 22 && pos != 1023)
{
pos += 1;
gotoxy (7+(9-bit)*3, temp+3);
}
if (temp >= 23 && temp < 45)
{
pos += 1;
gotoxy (48+(9-bit)*3, temp-20);
}
}
if (key == UP)
/* Up (pra riba) */
{
if (temp > 0 && temp <= 22)
{
pos -= 1;
gotoxy (7+(9-bit)*3, temp+1);
}
if (temp > 23 && temp <= 45)
{
pos -= 1;
gotoxy (48+(9-bit)*3, temp-22);
}
}
if (key == TAB)
{
if (pos < 1012)
{
if (wherex() > 40)
/* se o cursor estiver na coluna da direita */
{
pos -= 23;
gotoxy(wherex()-41, wherey());
}
else
{
pos += 23;
gotoxy(wherex()+41, wherey());
}
}
}
}
void Abrearquivo()
{
int p, b;
if ((stream = fopen("\\MEMORY.DAT", "r+")) != NULL)
/* Se o arquivo existir */
{
for (p=0; p<1024; p++)
for(b=0; b<=9; b++)
data[p][b] = getc(stream);
Escrevetela(0);
fclose(stream);
}
}
void Gravaarquivo()
{
int p, b, c;
if ((stream = fopen("\\MEMORY.DAT", "r+")) == NULL)
/* Se o arquivo não existir */
stream = fopen("\\MEMORY.DAT", "w+");
/* Crie um novo */
for (p=0; p<1024; p++)
for(b=0; b<=9; b++)
{
c = data[p][b];
putc(c, stream);
}
fclose(stream);
}
/* Esta função grava no CI. Se o usuário pressionar F9 e gravado em todos os endereços os seus próprios endereços.
Se o usuário pressionar F12 e gravado no CI somente os endereços modificados */
void GravaCI()
100
{
int p;
for (p=0; p<=1023; p++)
{
Bits5addr(1, p
);/*Coloco na paralela os 5 bits mais significativos do endereço */
Paralela(1);
/* Habilito latch3 */
Paralela(2);
/* Habilito latch4 */
Bits5addr(0, p);
/*Coloco na paralela os 5 bits menos significativos do endereco */
Paralela(3);
/* Habilito latch5 */
Bits5data(1, p);
/*Coloco na paralela os 5 bits mais significativos do dado */
Paralela(4);
/* Habilito latch6 */
Bits5data(0, p);
/*Coloco na paralela os 5 bits menos significativos do dado*/
Paralela(5);
/* WE vai pra 0 */
Paralela(6);
/* WE volta pra 1 */
}
Bits5addr(1, 0);
/* Coloco na paralela os 5 bits mais significativos do endereço */
Paralela(1);
/* Habilito latch3 */
Paralela(2);
/* Habilito latch4 */
Bits5addr(0, 0);
/* Coloco na paralela os 5 bits menos significativos do endereço */
Paralela(3);
/* Habilito latch5 */
Bits5data(1, 0);
/* Coloco na paralela os 5 bits mais significativos do dado */
Paralela(4);
/* Habilito latch6 */
Bits5data(0, 0);
/* Coloco na paralela os 5 bits menos significativos do dado */
Paralela(5);
/* WE vai pra 0 */
Paralela(6);
/* WE volta pra 1 */
sound(440);
delay(100);
nosound();
Paralela(0);
}
/* Esta função serve para setar valores dos bits de dados e de controle da porta paralela */
void Paralela(unsigned char par)
{
switch (par)
{
case 0:
/*memória na condição de leitura,dois primeiros latches habilitados*/
{
dado.bits.d5 = 0;
dado.bits.d6 = 1;
dado.bits.d7 = 1;
control.bits.c0 = 0;
control.bits.c1 = 0;
control.bits.c2 = 1;
break;
}
case 1:
/* escrita1, latch3 habilitado */
{
dado.bits.d5 = 1;
dado.bits.d6 = 0;
dado.bits.d7 = 1;
control.bits.c0 = 0;
control.bits.c1 = 0;
control.bits.c2 = 1;
break;
}
case 2:
/* escrita2, latch4 habilitado */
{
dado.bits.d5 = 1;
dado.bits.d6 = 0;
dado.bits.d7 = 0;
control.bits.c0 = 0;
control.bits.c1 = 0;
control.bits.c2 = 1;
break;
}
case 3:
/* escrita3, latch5 habilitado */
{
dado.bits.d5 = 1;
dado.bits.d6 = 0;
dado.bits.d7 = 0;
control.bits.c0 = 1;
control.bits.c1 = 0;
control.bits.c2 = 1;
break;
101
}
case 4:
/* escrita4, latch6 habilitado */
{
dado.bits.d5 = 1;
dado.bits.d6 = 0;
dado.bits.d7 = 0;
control.bits.c0 = 1;
control.bits.c1 = 1;
control.bits.c2 = 1;
break;
}
case 5:
/* WE vai pra 0 */
{
dado.bits.d5 = 1;
dado.bits.d6 = 0;
dado.bits.d7 = 0;
control.bits.c0 = 1;
control.bits.c1 = 1;
control.bits.c2 = 0;
break;
}
case 6:
/* WE volta pra 1 */
{
dado.bits.d5 = 1;
dado.bits.d6 = 0;
dado.bits.d7 = 0;
control.bits.c0 = 1;
control.bits.c1 = 1;
control.bits.c2 = 1;
break;
}
}
outportb(888, dado.out);
outportb(890, control.out);
}
/* Esta função coloca os 5 bits mais significativos ou menos significativos do endereço decimal de 10 bits e
coloca-os nos bits d0-d4 da paralela */
void Bits5addr(unsigned char par, unsigned int word)
{
int i;
switch(par)
{
case 0:
/* bits menos significativos */
{
dado.bits.d0 = Inttobin(word, 0);
dado.bits.d1 = Inttobin(word, 1);
dado.bits.d2 = Inttobin(word, 2);
dado.bits.d3 = Inttobin(word, 3);
dado.bits.d4 = Inttobin(word, 4);
break;
}
case 1:
/* bits mais significativos */
{
dado.bits.d0 = Inttobin(word, 5);
dado.bits.d1 = Inttobin(word, 6);
dado.bits.d2 = Inttobin(word, 7);
dado.bits.d3 = Inttobin(word, 8);
dado.bits.d4 = Inttobin(word, 9);
break;
}
}
outportb(888, dado.out);
outportb(890, control.out);
}
/* Esta função coloca os 5 bits mais significativos ou menos significativos da variável data e coloca-os nos bits
d0-d4 da paralela */
void Bits5data(unsigned char par, unsigned int po)
{
switch(par)
{
case 0:
/* bits menos significativos */
{
dado.bits.d0 = data[po][0];
dado.bits.d1 = data[po][1];
dado.bits.d2 = data[po][2];
102
dado.bits.d3 = data[po][3];
dado.bits.d4 = data[po][4];
break;
}
case 1:
/* bits mais significativos */
{
dado.bits.d0 = data[po][5];
dado.bits.d1 = data[po][6];
dado.bits.d2 = data[po][7];
dado.bits.d3 = data[po][8];
dado.bits.d4 = data[po][9];
break;
}
}
outportb(888, dado.out);
outportb(890, control.out);
}
void main(void)
{
int key;
int datadec=0, b;
Inimatriz();
Escrevetela(0);
/* Coloca a memória na condição de leitura */
Paralela(0);
do
{
if (kbhit()) key = getch();
if (key == PGDOWN) { Escrevetela(key); key = -1; }
/* Page down */
if (key == PGUP) { Escrevetela(key); key = -1; }
/* Page up */
if (key == UP)
/* Up */
{
Posicionacursor(key);
key = -1;
}
if (key == DOWN)
/* Down */
{
Posicionacursor(key);
key = -1;
}
if (key == LEFT)
/* Left */
{
Posicionacursor(key);
key = -1;
}
if (key == RIGHT)
/* Right */
{
Posicionacursor(key);
key = -1;
}
if (key == TAB)
/* Tab */
{
Posicionacursor(key);
key = -1;
}
if (key == ZERO || key == DELETE)
/* Pressionou 0 ou Delete*/
{
data[pos][bit] = 0;
if (data[pos][bit] != dataref[pos][bit])
{
textcolor(RED);
textbackground(CYAN);
}
cprintf("0 ");
gotoxy(wherex()-2,wherey());
textcolor(WHITE);
textbackground(BLUE);
key = -1;
}
if (key == ONE || key == ENTER)
/* Pressionou 1 ou Enter */
{
data[pos][bit] = 1;
if (data[pos][bit] != dataref[pos][bit])
{
103
textcolor(RED);
textbackground(CYAN);
}
cprintf("1 ");
gotoxy(wherex()-2,wherey());
textcolor(WHITE);
textbackground(BLUE);
key = -1;
}
if (key == F5)
/* Grava a matriz no arquivo */
{
Gravaarquivo();
key = -1;
}
if (key == F8)
/* Carrega a matriz do arquivo */
{
Abrearquivo();
key = -1;
}
if (key == F9)
/* Inicializa matriz */
{
Inimatriz();
Escrevetela(0);
key = -1;
}
if (key == F12)
/* Grava no CI */
{
GravaCI();
key = -1;
}
if (key == MAIS)
{
datadec = 0;
for (b=0; b<=9; b++)
{
datadec += data[pos][b] * pow(2, b);
}
datadec += 1;
for (b=0; b<=9; b++)
data[pos][b] = Inttobin(datadec, b);
key = -1;
}
if (key == MENOS)
{
datadec = 0;
for (b=0; b<=9; b++)
{
datadec += data[pos][b] * pow(2, b);
}
datadec -= 1;
for (b=0; b<=9; b++)
data[pos][b] = Inttobin(datadec, b);
key = -1;
}
}while (key != ESC);
textcolor(LIGHTGRAY);
textbackground(BLACK);
clrscr();
}
104
ANEXO 2
P
ROGRAMA PEARSON
.
C
#include <math.h>
/* A função desta sub-rotina e calcular os coeficientes a e b de uma equação de reta do tipo y=ax+b que dara
origem a uma matriz que na verdade será a matriz endereço x dado dos dados a serem atualizados na LUT (de acordo
com o diagrama em blocos este algoritmo e o Up-to-date Algorithm). Para isso usa-se o método de Correlação de
Pearson, que tem como ponto de partida uma matriz de 2 colunas e 1024 linhas(dados que vem dos 2 conversores AD
adquiridos pelo PLD) e matriz ideal */
#define JANELALENGTH 20
void main()
{
unsigned int data[1024];
unsigned int i,j;
unsigned int erro[1024], menorerro=65535;
unsigned int janelaco=0;
/* Janela correspondente ao menor erro */
float xbarra=0, ybarra=0;
float corr, covxy=0, despadx=0, despady=0;
float a, b;
unsigned int update[1024];
for (i=0; i <= 1023; i++)
{
erro[i] = 0;
data[i] = i;
}
data[1]=52;
data[2]=63;
data[3]=82;
data[4]=52;
data[5]=34;
data[6]=16;
data[7]=57;
data[8]=78;
data[9]=89;
data[10]=10;
data[11]=11;
/* Para calcular os valores de Xbarra e Ybarra e necessário pegar uma região de JANELALENGTH pontos de tal forma
que os a soma dos erros entre a matriz adquirida pelo PLD e a matriz ideal seja mínima */
for (i=0; i <= 1023-JANELALENGTH+1; i++)
for (j=i; j < i+JANELALENGTH; j++)
erro[i] += abs(j - data[j]);
for (i=0; i <= 1023-JANELALENGTH+1; i++)
if (erro[i] < menorerro)
{
menorerro= erro[i];
janelaco= i;
/*A Janela correspondente começa em i e vai ate i+JANELALENGTH-1*/
}
for (i=janelaco; i <= janelaco+JANELALENGTH-1; i++)
{
xbarra += i;
ybarra += data[i];
}
xbarra = xbarra / JANELALENGTH;
ybarra = ybarra / JANELALENGTH;
for (i=janelaco; i <= janelaco+JANELALENGTH-1; i++)
{
covxy += (i-xbarra)*(data[i]-ybarra);
despadx += pow((i-xbarra), 2);
despady += pow((data[i]-ybarra), 2);
}
corr = covxy / (sqrt(despadx*despady));
/* Calculando coeficientes da equacao da reta */
a = covxy / despadx;
b = ybarra - a*xbarra;
for (i=0; i <= 1023; i++)
{
update[i] = ceil(a*i+b);
if ((ceil(a*i+b) - (a*i+b)) > .5)
update[i] = floor(a*i+b);
}
}
105
A
PÊNDICES
APÊNDICE 1
A.1 – D
IGITALIZAÇÃO DOS
S
INAIS
A
NALÓGICOS
Para a digitalização dos sinais analógicos temos que cumprir três etapas
fundamentais, a saber:
Amostragem;
Quantização;
Codificação.
A.1.1 – A
MOSTRAGEM
Como o sinal analógico é contínuo no tempo e em nível, este contém uma
infinidade de valores, e como os meios de comunicação possuem banda de canal
limitada, somos obrigados a transmitir apenas certa quantidade de amostras deste
sinal
(1)
(2)
(3)
(4)
.
A primeira etapa é feita através da modulação por amplitude de pulso (PAM),
ou seja, divisão do sinal no eixo do tempo em amostras analógicas discretas. Neste
aspecto, o PAM é entendido como uma forma de “processamento do sinal”. Este tipo
de modulação é utilizado pelo fato de apresentar pulsos que têm posição e duração
bem definidas.
O PAM pode ser de vários tipos, sendo visualizado na Fig. A.1:
PAM Ideal;
PAM Natural: a amplitude dos pulsos é igual à amplitude de )(
tf
;
PAM Instantâneo: a amplitude do pulso é constante e igual ao valor da
função, no instante da amostragem, e requer uma equalização de amplitude;
PAM com Amostragem e Retenção: os valores das amostras são iguais aos
valores da função, no instante de amostragem, porém os pulsos estão defasados e
requerem uma equalização de amplitude e fase.
Ao longo do desenvolvimento do nosso projeto, iremos trabalhar com o PAM
com Amostragem e Retenção, pois seu formato se assemelha mais ao sinal original,
que de maneira intuitiva permite nos dizer que será mais fácil recuperá-lo através de
106
um filtro. Além desse fator uma das características dos conversores A/D é a
necessidade de se ter um sinal mais estável possível ou com variações lentas em sua
entrada durante um determinado intervalo de tempo. Assim sendo, as configurações:
PAM Ideal, PAM Natural e PAM Instantâneo não são utilizadas na prática pois o
Ideal não é realizável, o Natural apresenta amostras que possuem amplitude variável
durante sua existência e o Instantâneo apresenta o sinal para conversão durante um
intervalo muito pequeno.
PAM IDEAL
PAM NATURAL
PAM INSTANTÂNEO
PAM COM AMOSTRAGEM E RETENÇÃO
Figura A.1
Tipos de amostragem de um sinal PAM
107
O teorema de Nyquist diz que a quantidade de amostras por unidade de tempo
de um sinal, chamada freqüência de amostragem (
a
f ), deve ser maior que o dobro da
maior freqüência contida no sinal a ser amostrado (
m
f ), para que este possa ser
recuperado com um filtro real, tendo como sistema típico a Fig. A.2. Ocorrem os
seguintes casos:
ma
ff 2>
é possível recuperar
f(t)
com um filtro real;
ma
ff 2
=
é possível recuperar
f(t)
com um filtro ideal;
ma
ff 2
<
não é possível recuperar a informação.
Neste caso, a freqüência crítica é conhecida como freqüência de Nyquist.
Na prática, antes de se amostrar o sinal, passamos o mesmo por um filtro
passa-baixa também chamado de filtro anti-aliasing, para atenuar as componentes de
alta freqüência do sinal que não contribuem de forma significativa para o conteúdo
da informação, evitando assim o “aliasing error”. Logo, o sinal é amostrado a uma
taxa superior a taxa de Nyquist, de forma a facilitar o projeto do filtro passa-baixa de
reconstrução do sinal.
SINAL AMOSTRADO
SINAL ORIGINAL
T
a
τ
f(t)
f
a
(t)=f(t)r(t)
r(t)
Figura A.2
Sistema típico de Amostragem
108
Na primeira simulação apresentada na Fig. A.3 temos que
ma
ff 2> , ou seja a
freqüência de amostragem é maior que duas vezes a freqüência do sinal. Com relação
Fig. A.4, podemos constatar que para a reconstituição do sinal analógico original
basta passar o sinal PAM em um filtro passa-baixa com freqüência de corte igual à
freqüência de Nyquist, ou seja, metade da freqüência de amostragem.
Na segunda simulação mostrada na Fig. A.5 temos que
ma
ff 2= , sendo
possível a sua reprodução com um filtro ideal, visto na Fig. A.6, caso contrário não é
possível recuperar o sinal.
Figura A.3
Sinal reconstituído quando f
a
>2fm
II
II
Figura gerada com o Simulador Didático RZ-2 – Cortesia do Prof. Roland M. Zurmely
109
Figura A.4
Sinal amostrado quando f
a
>2fm
III
Figura A.5
Sinal reconstituído quando f
a
=2fm
IV
III
Figura gerada com o Simulador Didático RZ-2 – Cortesia do Prof. Roland M. Zurmely
IV
Figura gerada com o Simulador Didático RZ-2 – Cortesia do Prof. Roland M. Zurmely
110
Figura A.6
Sinal amostrado quando f
a
=2fm
V
O último caso é apresentado na simulação da Fig. A.7 na qual
ma
ff 2< , ou
seja a quantidade de amostras é insuficiente e o sinal reproduzido estará errado,
sendo destacado na cor rosa. Este erro causado pelo fenômeno de aliasing nada mais
é do que a superposição dos espectros de cada raia, por falta de espaço. Assim, na
reconstituição do sinal Fig. A.8, podemos observar que o sinal reconstituído não é
como o sinal original.
Como a transmissão de um sinal PAM exige características bastante severas
impostas para o canal quanto às respostas de amplitude e fase, a BW é alta e a relação
SNR torna-se crítica. Por essas razões, o sistema PAM só é utilizado quando à
distância entre o transmissor e o receptor é pequena. Para solucionar este problema,
idealizou-se um sistema de transmissão binária, onde, temos como seqüência à
digitalização de um sinal analógico, a Quantização e a Codificação dos sinais
amostrados.
Ou seja, podemos concluir que a amostragem é uma discretização do sinal no
tempo.
V
Figura gerada com o Simulador Didático RZ-2 – Cortesia do Prof. Roland M. Zurmely
111
Figura A.7
Sinal reconstituído quando f
a
<2fm
VI
Figura A.8
Sinal amostrado quando f
a
<2fm
VII
VI
Figura gerada com o Simulador Didático RZ-2 – Cortesia do Prof. Roland M. Zurmely
VII
Figura gerada com o Simulador Didático RZ-2 – Cortesia do Prof. Roland M. Zurmely
112
A.1.2
– Q
UANTIZAÇÃO
A Quantização consiste, essencialmente, na subdivisão da faixa dinâmica do
sinal em determinado número finito de níveis discretos denominados níveis de
quantização, e na aproximação das amostras de um sinal para o nível mais próximo
do seu valor. O erro introduzido pela aproximação provoca um erro de amplitude no
sinal recuperado na recepção. Esse erro de quantização, distorção por quantização ou
ruído de quantização, é um dos pontos críticos da digitalização.
Existem, basicamente, duas formas de se realizar a quantização:
Linear ou uniforme;
Logarítmica ou não linear.
Para melhorar o efeito do erro de quantização podemos aumentar o número
de níveis de quantização ou reduzir a duração dos pulsos. No entanto, ambas as
soluções levam a um aumento da largura de faixa necessária à transmissão do sinal,
e, conseqüentemente, a um aumento no custo do sistema.
A.1.2.1 – Q
UANTIZAÇÃO
L
INEAR OU
U
NIFORME
Na quantização linear cada amostra ou pulso PAM é transformada em uma
quantidade pré-definida de n bits. A Fig. A.9 apresenta este tipo de quantização.
Em que:
)(
tm
sinal original analógico a ser quantizado
)(tm
a
sinal analógico amostrado
sinal )(
tm
tem uma faixa dinâmica (FD) que está entre V
L
(menor tensão) e
V
H
(maior tensão)
A faixa dinâmica do sinal é dividida em
M
intervalos iguais de tamanho V
,
que é chamado de passo de quantização e definido por:
M
FD
M
VV
V
LH
=
=
(A.1)
113
V
V
V
V
V
V
V
V
FD
V
L
V
H
0
m1
m2
m3
m - 1
m - 2
m4
m - 3
m - 4
m(t)
ma(t)
mq(t)
Figura A.9
Sistema de Quantização Linear
Em geral, o valor de
M
deve ser uma potência de 2, de modo a facilitar a
codificação. Os limites entre os passos de quantização são denominados níveis de
quantização,
,....2,1,3,2,1
mmmmm
Entre os níveis de quantização consecutivos existem os níveis de decisão.
Qualquer amostra que se situe entre dois níveis de decisão sucessivos será
aproximada para o nível de quantização compreendido entre eles. Isso dá origem ao
sinal quantizado
)(
tm
q
, que é um sinal digital.
Pelo fato dos passos de quantização serem uniformemente espaçados, esse
tipo de quantização é denominado uniforme ou linear.
A.1.2.2 –
Q
UANTIZAÇÃO
N
ÃO
L
INEAR
Neste tipo de quantização procura-se manter a relação SNR praticamente
constante. Assim, os níveis de quantização deixam de ser uniformes, conforme
mostra a Fig. A.10.
114
V
V
V
V
FD
V
L
V
H
m1
m2
m3
m4
m(t)
1
2
3
4
Figura A.10
Sistema de Quantização não Linear
Logo a Quantização é uma discretização do sinal em amplitude.
A.1.3
C
ODIFICAÇÃO
A última etapa do processo de digitalização dos sinais é a codificação dos
níveis de quantização para que o sinal possa ser transmitido.
O processo de codificação consiste em associar a cada nível de quantização
uma palavra-código, sendo esta em geral binária e apresenta n bits. Um exemplo
pode ser dado para um sinal digital modulado por código de pulso (PCM)
arredondado pelo erro de quantização resultante da diferença do sinal quantizado e o
sinal original. Este erro pode ser visto na Fig. A.11 e o sistema digitalizador
completo é ilustrado na Fig. A.12(a) e na Fig. A.12(b) temos os sinais das várias
etapas do processo de digitalização. Se a palavra-código tem n bits, existe a
necessidade de M=2
n
níveis de quantização.
115
Figura A.11
Erro de Quantização
VIII
Amostrador Quantizador Codificador
Digitalizador
PAM analógico PAM Digital ( M níveis ) PCM Digital
Sinal
analógico
Figura A.12(a)
Sistema de Digitalização de Sinais
VIII
Figura gerada com o Simulador Didático RZ-2 – Cortesia do Prof. Roland M. Zurmely
116
Figura A.12(b)
Sinais das várias etapas do Processo de Digitalização de Sinais
A.2 –
R
ECONSTRUÇÃO DO SINAL DIGITAL EM ANALÓGICO
O processo inverso ao da digitalização, ou seja, a reconstrução do sinal em
analógico se dá em duas etapas, visto na Fig. A.13.
Filtro Passa Baixa Decodificador
Sinal
analógico
Sinal
digital
Reconstrutor Analógico
Figura A.13
Reconstrução do sinal original
1
1
2
2
3
3
PAM infinitos níveis
PAM M níveis de quantização
PCM
τ
n
T
T
c
b
==
τ
a
Q
f
T
1
=
n
T
T
Q
c
=
117
A primeira é a passagem do sinal por um decodificador, cuja função é
decodificar o sinal e converter a sequência de bits em amostras PAM. A segunda é
filtrar o sinal PAM através de um filtro passa-baixa, com freqüência de corte igual à
freqüência de Nyquist ( 2/
a
f ). Após a decodificação e conversão dos bits em pulsos
PAM teremos o sinal que é visto na Fig. A.14.
Figura A.14
Pulsos PAM
IX
Para então fazermos a recuperação do sinal analógico, basto passar o sinal
PAM por um filtro passa-baixa com freqüência de corte igual à de Nyquist. A Fig,
A.15 ilustra as várias respostas de um filtro passa baixa devido ao fator de roll-off.
Logo ao passarmos um impulso por um filtro passa-baixa teremos na sua
saída uma forma de onda Sa(x), assim sendo quando excitamos o filtro com uma
sequência de pulsos, a freqüência fundamental
a
f do pulso PAM, deve ser igual ao
dobro da banda passante do filtro passa-baixa, a fim de que possamos recuperar o
sinal original, conforme pode ser visualizado na Fig. A.16.
IX
Figura gerada com o Simulador Didático RZ-2 – Cortesia do Prof. Roland M. Zurmely
118
Figura A.15(a)
Fator de roll-off = 0
Figura A.15(b)
Fator de roll-off = 0,5
(
)
0 0.8 1.6 2.4 3.2 4
0.3
0.02
0.26
0.54
0.82
1.1
.3
p.0t,()
p.5t,()
p1t,()
40
t
Figura A.15(c)
Fator de roll-off = 1
Figura A.15(d)
Espectro dos três fatores
Figura A.15
Respostas aos respectivos fatores de roll-off
X
Figura A.16
Reconstrução do sinal original
XI
X
Figuras (a),(b) e (c) geradas com o Simulador Didático RZ-2 – Cortesia do Prof. Roland M. Zurmely
XI
Figura gerada com o Simulador Didático RZ-2 – Cortesia do Prof. Roland M. Zurmely
119
A.3 – R
EFERÊNCIAS
B
IBLIOGRÁFICAS DO
A
PÊNDICE
1
(1)
Steven, W.S.,
The Scientist and Engineer's guide to Digital Signal Processing
; California Tech.
Publishing, CA, 2000.
(2)
Haykin, S.,
Adaptive Filter Theory
; 3
rd
, Prentice Hall, 1996.
(3)
Hodges, D.A., and Jackson, H.G
., Analysis and Design of Digital Integrated Circuits
;. London,
McGraw-Hill, 1983.
(4)
Millman, J., Halkias, C.C.,
Integrated Eletronics: Analog and Digital Circuits and Systems
;
McGraw-Hill, Tokyo, Kogakusha, 1972.
Livros Grátis
( http://www.livrosgratis.com.br )
Milhares de Livros para Download:
Baixar livros de Administração
Baixar livros de Agronomia
Baixar livros de Arquitetura
Baixar livros de Artes
Baixar livros de Astronomia
Baixar livros de Biologia Geral
Baixar livros de Ciência da Computação
Baixar livros de Ciência da Informação
Baixar livros de Ciência Política
Baixar livros de Ciências da Saúde
Baixar livros de Comunicação
Baixar livros do Conselho Nacional de Educação - CNE
Baixar livros de Defesa civil
Baixar livros de Direito
Baixar livros de Direitos humanos
Baixar livros de Economia
Baixar livros de Economia Doméstica
Baixar livros de Educação
Baixar livros de Educação - Trânsito
Baixar livros de Educação Física
Baixar livros de Engenharia Aeroespacial
Baixar livros de Farmácia
Baixar livros de Filosofia
Baixar livros de Física
Baixar livros de Geociências
Baixar livros de Geografia
Baixar livros de História
Baixar livros de Línguas
Baixar livros de Literatura
Baixar livros de Literatura de Cordel
Baixar livros de Literatura Infantil
Baixar livros de Matemática
Baixar livros de Medicina
Baixar livros de Medicina Veterinária
Baixar livros de Meio Ambiente
Baixar livros de Meteorologia
Baixar Monografias e TCC
Baixar livros Multidisciplinar
Baixar livros de Música
Baixar livros de Psicologia
Baixar livros de Química
Baixar livros de Saúde Coletiva
Baixar livros de Serviço Social
Baixar livros de Sociologia
Baixar livros de Teologia
Baixar livros de Trabalho
Baixar livros de Turismo